ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ /* OPTION: using custom renumber hook */ FILE_DESCRIPTION( /* description */ ('STEP AP214'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'RTS6BS10N2SHEC03', /* time_stamp */ '2023-01-12T16:46:00+01:00', /* author */ ('License CC BY-ND 4.0'), /* organization */ ('CADENAS'), /* preprocessor_version */ 'ST-DEVELOPER v18.102', /* originating_system */ 'PARTsolutions', /* authorisation */ ' '); FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); ENDSEC; DATA; #10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); #11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); #12=REPRESENTATION('',(#16),#10793); #13=REPRESENTATION('',(#17),#10793); #14=PROPERTY_DEFINITION('pmi validation property','',#10798); #15=PROPERTY_DEFINITION('pmi validation property','',#10798); #16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); #17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); #18=SHAPE_REPRESENTATION_RELATIONSHIP('','',#6176,#19); #19=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#6174),#10793); #20=TOROIDAL_SURFACE('',#6718,1.2,0.250000000000002); #21=TOROIDAL_SURFACE('',#6722,1.39999999999999,0.400000000000011); #22=TOROIDAL_SURFACE('',#6727,1.39999999999999,0.400000000000006); #23=TOROIDAL_SURFACE('',#6731,1.2,0.25); #24=TOROIDAL_SURFACE('',#6750,1.05,0.250000000000002); #25=TOROIDAL_SURFACE('',#6754,1.15,0.400000000000005); #26=TOROIDAL_SURFACE('',#6761,1.14999999999999,0.400000000000006); #27=TOROIDAL_SURFACE('',#6765,1.05,0.25); #28=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10053,#10054),(#10055,#10056), (#10057,#10058),(#10059,#10060)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #29=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10066,#10067),(#10068,#10069), (#10070,#10071),(#10072,#10073),(#10074,#10075),(#10076,#10077)), .RULED_SURF.,.F.,.F.,.F.,(4,2,4),(2,2),(0.,0.5,1.),(0.,1.), .UNSPECIFIED.); #30=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10081,#10082),(#10083,#10084), (#10085,#10086),(#10087,#10088)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #31=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10092,#10093),(#10094,#10095), (#10096,#10097),(#10098,#10099)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #32=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10103,#10104),(#10105,#10106), (#10107,#10108),(#10109,#10110)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #33=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10114,#10115),(#10116,#10117), (#10118,#10119),(#10120,#10121),(#10122,#10123),(#10124,#10125)), .RULED_SURF.,.F.,.F.,.F.,(4,2,4),(2,2),(0.,0.5,1.),(0.,1.), .UNSPECIFIED.); #34=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10129,#10130),(#10131,#10132), (#10133,#10134),(#10135,#10136)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #35=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10140,#10141),(#10142,#10143), (#10144,#10145),(#10146,#10147)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #36=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10151,#10152),(#10153,#10154), (#10155,#10156),(#10157,#10158)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #37=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10162,#10163),(#10164,#10165), (#10166,#10167),(#10168,#10169),(#10170,#10171),(#10172,#10173)), .RULED_SURF.,.F.,.F.,.F.,(4,2,4),(2,2),(0.,0.5,1.),(0.,1.), .UNSPECIFIED.); #38=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10177,#10178),(#10179,#10180), (#10181,#10182),(#10183,#10184)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #39=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#10188,#10189),(#10190,#10191), (#10192,#10193),(#10194,#10195)),.RULED_SURF.,.F.,.F.,.F.,(4,4),(2,2),(0., 1.),(0.,1.),.PIECEWISE_BEZIER_KNOTS.); #40=CYLINDRICAL_SURFACE('',#6239,9.); #41=CYLINDRICAL_SURFACE('',#6241,9.); #42=CYLINDRICAL_SURFACE('',#6243,9.); #43=CYLINDRICAL_SURFACE('',#6245,9.); #44=CYLINDRICAL_SURFACE('',#6247,9.); #45=CYLINDRICAL_SURFACE('',#6249,9.); #46=CYLINDRICAL_SURFACE('',#6251,9.); #47=CYLINDRICAL_SURFACE('',#6253,9.); #48=CYLINDRICAL_SURFACE('',#6255,9.); #49=CYLINDRICAL_SURFACE('',#6257,9.); #50=CYLINDRICAL_SURFACE('',#6343,11.5); #51=CYLINDRICAL_SURFACE('',#6354,9.3); #52=CYLINDRICAL_SURFACE('',#6358,8.5); #53=CYLINDRICAL_SURFACE('',#6361,0.200000000000004); #54=CYLINDRICAL_SURFACE('',#6364,0.2); #55=CYLINDRICAL_SURFACE('',#6366,11.0000000000001); #56=CYLINDRICAL_SURFACE('',#6368,0.200000000000002); #57=CYLINDRICAL_SURFACE('',#6370,0.2); #58=CYLINDRICAL_SURFACE('',#6374,0.199999999999998); #59=CYLINDRICAL_SURFACE('',#6377,0.200000000000002); #60=CYLINDRICAL_SURFACE('',#6379,10.9999999999999); #61=CYLINDRICAL_SURFACE('',#6381,0.200000000000002); #62=CYLINDRICAL_SURFACE('',#6384,0.200000000000002); #63=CYLINDRICAL_SURFACE('',#6387,0.199999999999995); #64=CYLINDRICAL_SURFACE('',#6390,0.200000000000002); #65=CYLINDRICAL_SURFACE('',#6392,11.); #66=CYLINDRICAL_SURFACE('',#6394,0.199999999999999); #67=CYLINDRICAL_SURFACE('',#6397,0.199999999999999); #68=CYLINDRICAL_SURFACE('',#6400,0.200000000000003); #69=CYLINDRICAL_SURFACE('',#6403,0.199999999999999); #70=CYLINDRICAL_SURFACE('',#6405,10.9999999999998); #71=CYLINDRICAL_SURFACE('',#6407,0.199999999999999); #72=CYLINDRICAL_SURFACE('',#6410,0.199999999999998); #73=CYLINDRICAL_SURFACE('',#6413,0.200000000000001); #74=CYLINDRICAL_SURFACE('',#6416,0.200000000000002); #75=CYLINDRICAL_SURFACE('',#6418,10.9999999999999); #76=CYLINDRICAL_SURFACE('',#6420,0.199999999999996); #77=CYLINDRICAL_SURFACE('',#6423,0.2); #78=CYLINDRICAL_SURFACE('',#6426,0.200000000000003); #79=CYLINDRICAL_SURFACE('',#6429,0.200000000000004); #80=CYLINDRICAL_SURFACE('',#6431,11.0000000000001); #81=CYLINDRICAL_SURFACE('',#6433,0.200000000000003); #82=CYLINDRICAL_SURFACE('',#6436,0.200000000000003); #83=CYLINDRICAL_SURFACE('',#6439,0.200000000000002); #84=CYLINDRICAL_SURFACE('',#6442,0.200000000000002); #85=CYLINDRICAL_SURFACE('',#6444,11.); #86=CYLINDRICAL_SURFACE('',#6446,0.199999999999999); #87=CYLINDRICAL_SURFACE('',#6449,0.200000000000003); #88=CYLINDRICAL_SURFACE('',#6452,0.200000000000002); #89=CYLINDRICAL_SURFACE('',#6455,0.200000000000002); #90=CYLINDRICAL_SURFACE('',#6457,11.0000000000001); #91=CYLINDRICAL_SURFACE('',#6459,0.199999999999999); #92=CYLINDRICAL_SURFACE('',#6462,0.2); #93=CYLINDRICAL_SURFACE('',#6465,0.200000000000003); #94=CYLINDRICAL_SURFACE('',#6468,0.2); #95=CYLINDRICAL_SURFACE('',#6470,11.0000000000001); #96=CYLINDRICAL_SURFACE('',#6472,0.2); #97=CYLINDRICAL_SURFACE('',#6475,0.199999999999997); #98=CYLINDRICAL_SURFACE('',#6484,0.2); #99=CYLINDRICAL_SURFACE('',#6486,0.200000000000001); #100=CYLINDRICAL_SURFACE('',#6487,10.9999999999999); #101=CYLINDRICAL_SURFACE('',#6488,0.2); #102=CYLINDRICAL_SURFACE('',#6490,0.199999999999999); #103=CYLINDRICAL_SURFACE('',#6491,0.200000000000007); #104=CYLINDRICAL_SURFACE('',#6492,0.950000000000009); #105=CYLINDRICAL_SURFACE('',#6493,0.949999999999996); #106=CYLINDRICAL_SURFACE('',#6494,0.200000000000004); #107=CYLINDRICAL_SURFACE('',#6495,0.200000000000007); #108=CYLINDRICAL_SURFACE('',#6496,0.949999999999995); #109=CYLINDRICAL_SURFACE('',#6497,0.950000000000002); #110=CYLINDRICAL_SURFACE('',#6498,0.200000000000006); #111=CYLINDRICAL_SURFACE('',#6499,0.200000000000002); #112=CYLINDRICAL_SURFACE('',#6500,0.950000000000004); #113=CYLINDRICAL_SURFACE('',#6501,0.950000000000003); #114=CYLINDRICAL_SURFACE('',#6502,0.199999999999995); #115=CYLINDRICAL_SURFACE('',#6503,0.200000000000001); #116=CYLINDRICAL_SURFACE('',#6504,0.949999999999997); #117=CYLINDRICAL_SURFACE('',#6505,0.950000000000004); #118=CYLINDRICAL_SURFACE('',#6506,0.199999999999998); #119=CYLINDRICAL_SURFACE('',#6507,0.200000000000006); #120=CYLINDRICAL_SURFACE('',#6508,0.950000000000001); #121=CYLINDRICAL_SURFACE('',#6509,0.950000000000002); #122=CYLINDRICAL_SURFACE('',#6510,0.200000000000009); #123=CYLINDRICAL_SURFACE('',#6511,0.199999999999996); #124=CYLINDRICAL_SURFACE('',#6512,0.950000000000006); #125=CYLINDRICAL_SURFACE('',#6513,0.950000000000001); #126=CYLINDRICAL_SURFACE('',#6514,0.199999999999999); #127=CYLINDRICAL_SURFACE('',#6515,0.200000000000002); #128=CYLINDRICAL_SURFACE('',#6516,0.94999999999999); #129=CYLINDRICAL_SURFACE('',#6517,0.949999999999998); #130=CYLINDRICAL_SURFACE('',#6518,0.200000000000006); #131=CYLINDRICAL_SURFACE('',#6519,0.200000000000004); #132=CYLINDRICAL_SURFACE('',#6520,0.949999999999985); #133=CYLINDRICAL_SURFACE('',#6521,0.950000000000001); #134=CYLINDRICAL_SURFACE('',#6522,0.20000000000001); #135=CYLINDRICAL_SURFACE('',#6523,0.199999999999999); #136=CYLINDRICAL_SURFACE('',#6524,0.950000000000005); #137=CYLINDRICAL_SURFACE('',#6525,0.950000000000006); #138=CYLINDRICAL_SURFACE('',#6526,0.200000000000003); #139=CYLINDRICAL_SURFACE('',#6527,0.200000000000013); #140=CYLINDRICAL_SURFACE('',#6528,0.949999999999999); #141=CYLINDRICAL_SURFACE('',#6529,0.949999999999996); #142=CYLINDRICAL_SURFACE('',#6530,0.200000000000011); #143=CYLINDRICAL_SURFACE('',#6531,4.5); #144=CYLINDRICAL_SURFACE('',#6550,0.274694000882125); #145=CYLINDRICAL_SURFACE('',#6553,0.184203588693142); #146=CYLINDRICAL_SURFACE('',#6559,0.184203588693142); #147=CYLINDRICAL_SURFACE('',#6564,0.274694000882125); #148=CYLINDRICAL_SURFACE('',#6587,6.69999999999995); #149=CYLINDRICAL_SURFACE('',#6589,6.14999999999999); #150=CYLINDRICAL_SURFACE('',#6593,6.70000000000066); #151=CYLINDRICAL_SURFACE('',#6596,6.70000000000038); #152=CYLINDRICAL_SURFACE('',#6599,6.69999999999991); #153=CYLINDRICAL_SURFACE('',#6603,6.7); #154=CYLINDRICAL_SURFACE('',#6608,5.6); #155=CYLINDRICAL_SURFACE('',#6612,5.2); #156=CYLINDRICAL_SURFACE('',#6631,0.28); #157=CYLINDRICAL_SURFACE('',#6634,0.14); #158=CYLINDRICAL_SURFACE('',#6643,1.4); #159=CYLINDRICAL_SURFACE('',#6646,1.4); #160=CYLINDRICAL_SURFACE('',#6649,1.8); #161=CYLINDRICAL_SURFACE('',#6652,1.8); #162=CYLINDRICAL_SURFACE('',#6668,0.274694000882125); #163=CYLINDRICAL_SURFACE('',#6670,0.274694000882126); #164=CYLINDRICAL_SURFACE('',#6680,0.184203588693142); #165=CYLINDRICAL_SURFACE('',#6683,0.184203588693142); #166=CYLINDRICAL_SURFACE('',#6699,0.28); #167=CYLINDRICAL_SURFACE('',#6707,0.14); #168=CYLINDRICAL_SURFACE('',#6712,0.65); #169=CYLINDRICAL_SURFACE('',#6716,0.95); #170=CYLINDRICAL_SURFACE('',#6724,1.8); #171=CYLINDRICAL_SURFACE('',#6725,1.8); #172=CYLINDRICAL_SURFACE('',#6733,0.950000000000001); #173=CYLINDRICAL_SURFACE('',#6737,0.65); #174=CYLINDRICAL_SURFACE('',#6744,0.4); #175=CYLINDRICAL_SURFACE('',#6748,0.8); #176=CYLINDRICAL_SURFACE('',#6756,1.55); #177=CYLINDRICAL_SURFACE('',#6759,1.55); #178=CYLINDRICAL_SURFACE('',#6767,0.800000000000001); #179=CYLINDRICAL_SURFACE('',#6771,0.4); #180=CYLINDRICAL_SURFACE('',#6775,0.245); #181=CYLINDRICAL_SURFACE('',#6778,0.245); #182=CYLINDRICAL_SURFACE('',#6781,0.14); #183=CYLINDRICAL_SURFACE('',#6784,0.14); #184=CYLINDRICAL_SURFACE('',#6787,0.245); #185=CYLINDRICAL_SURFACE('',#6790,0.245); #186=CYLINDRICAL_SURFACE('',#6793,0.14); #187=CYLINDRICAL_SURFACE('',#6796,0.14); #188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8676,#8677,#8678,#8679), .UNSPECIFIED.,.F.,.F.,(4,4),(2.81103861629869E-7,0.000229394128238041), .UNSPECIFIED.); #189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8682,#8683,#8684,#8685), .UNSPECIFIED.,.F.,.F.,(4,4),(2.82505764051405E-7,0.000890278750260301), .UNSPECIFIED.); #190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8689,#8690,#8691,#8692), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315960590884E-6,0.000941909975762002), .UNSPECIFIED.); #191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8694,#8695,#8696,#8697), .UNSPECIFIED.,.F.,.F.,(4,4),(1.98307692141023E-7,0.00022909962447978), .UNSPECIFIED.); #192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8704,#8705,#8706,#8707), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992391509E-6,0.00094190998879593), .UNSPECIFIED.); #193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8709,#8710,#8711,#8712), .UNSPECIFIED.,.F.,.F.,(4,4),(2.23703791796163E-7,0.00022912555915675), .UNSPECIFIED.); #194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8716,#8717,#8718,#8719), .UNSPECIFIED.,.F.,.F.,(4,4),(2.64093385356221E-7,0.000229376773705871), .UNSPECIFIED.); #195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8721,#8722,#8723,#8724), .UNSPECIFIED.,.F.,.F.,(4,4),(2.65340374830881E-7,0.00089026150428257), .UNSPECIFIED.); #196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8729,#8730,#8731,#8732), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315989383052E-6,0.000941909987160123), .UNSPECIFIED.); #197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8734,#8735,#8736,#8737), .UNSPECIFIED.,.F.,.F.,(4,4),(2.17844233803244E-7,0.00022911931662668), .UNSPECIFIED.); #198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8741,#8742,#8743,#8744), .UNSPECIFIED.,.F.,.F.,(4,4),(3.44135015590486E-7,0.000229458690903896), .UNSPECIFIED.); #199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8746,#8747,#8748,#8749), .UNSPECIFIED.,.F.,.F.,(4,4),(3.45931193931866E-7,0.000890631653932701), .UNSPECIFIED.); #200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8754,#8755,#8756,#8757), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992395605E-6,0.000941910005546122), .UNSPECIFIED.); #201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8759,#8760,#8761,#8762), .UNSPECIFIED.,.F.,.F.,(4,4),(1.99947020832189E-7,0.000229101724653097), .UNSPECIFIED.); #202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8766,#8767,#8768,#8769), .UNSPECIFIED.,.F.,.F.,(4,4),(2.59003183275003E-7,0.000229371437559512), .UNSPECIFIED.); #203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8771,#8772,#8773,#8774), .UNSPECIFIED.,.F.,.F.,(4,4),(2.60260447682552E-7,0.000890256405139998), .UNSPECIFIED.); #204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8779,#8780,#8781,#8782), .UNSPECIFIED.,.F.,.F.,(4,4),(8.14371279602571E-5,0.00101887994075447), .UNSPECIFIED.); #205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8784,#8785,#8786,#8787), .UNSPECIFIED.,.F.,.F.,(4,4),(2.71059270788604E-7,0.000229173507741545), .UNSPECIFIED.); #206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8791,#8792,#8793,#8794), .UNSPECIFIED.,.F.,.F.,(4,4),(2.99289793254283E-7,0.00022941256628933), .UNSPECIFIED.); #207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8796,#8797,#8798,#8799), .UNSPECIFIED.,.F.,.F.,(4,4),(3.00640855052842E-7,0.000890297137286413), .UNSPECIFIED.); #208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8804,#8805,#8806,#8807), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992393772E-6,0.000941909958079744), .UNSPECIFIED.); #209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8809,#8810,#8811,#8812), .UNSPECIFIED.,.F.,.F.,(4,4),(1.98307692141438E-7,0.000229099642604128), .UNSPECIFIED.); #210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8816,#8817,#8818,#8819), .UNSPECIFIED.,.F.,.F.,(4,4),(2.81103887839003E-7,0.000229393891092609), .UNSPECIFIED.); #211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8821,#8822,#8823,#8824), .UNSPECIFIED.,.F.,.F.,(4,4),(2.82505757643167E-7,0.000890278920383136), .UNSPECIFIED.); #212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8829,#8830,#8831,#8832), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992394316E-6,0.000941909965927818), .UNSPECIFIED.); #213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8834,#8835,#8836,#8837), .UNSPECIFIED.,.F.,.F.,(4,4),(2.23703791796967E-7,0.000229125582183766), .UNSPECIFIED.); #214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8841,#8842,#8843,#8844), .UNSPECIFIED.,.F.,.F.,(4,4),(2.6409329837068E-7,0.000229376539808372), .UNSPECIFIED.); #215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8846,#8847,#8848,#8849), .UNSPECIFIED.,.F.,.F.,(4,4),(2.65340392435006E-7,0.000890261671114017), .UNSPECIFIED.); #216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8854,#8855,#8856,#8857), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315989381408E-6,0.00094190994307205), .UNSPECIFIED.); #217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8859,#8860,#8861,#8862), .UNSPECIFIED.,.F.,.F.,(4,4),(2.17844233802884E-7,0.000229119361020218), .UNSPECIFIED.); #218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8866,#8867,#8868,#8869), .UNSPECIFIED.,.F.,.F.,(4,4),(3.44135012996978E-7,0.00022945868213482), .UNSPECIFIED.); #219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8871,#8872,#8873,#8874), .UNSPECIFIED.,.F.,.F.,(4,4),(3.45931194500699E-7,0.000890631650648523), .UNSPECIFIED.); #220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8879,#8880,#8881,#8882), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992396272E-6,0.000941909975632464), .UNSPECIFIED.); #221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8884,#8885,#8886,#8887), .UNSPECIFIED.,.F.,.F.,(4,4),(1.99947020832189E-7,0.000229101754774183), .UNSPECIFIED.); #222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8891,#8892,#8893,#8894), .UNSPECIFIED.,.F.,.F.,(4,4),(2.59003184823422E-7,0.000229371433503864), .UNSPECIFIED.); #223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8896,#8897,#8898,#8899), .UNSPECIFIED.,.F.,.F.,(4,4),(2.60260447392075E-7,0.000890256397186848), .UNSPECIFIED.); #224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8904,#8905,#8906,#8907), .UNSPECIFIED.,.F.,.F.,(4,4),(4.34315992395719E-6,0.000941909948440347), .UNSPECIFIED.); #225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8909,#8910,#8911,#8912), .UNSPECIFIED.,.F.,.F.,(4,4),(2.71059270791693E-7,0.000229173504030201), .UNSPECIFIED.); #226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8916,#8917,#8918,#8919), .UNSPECIFIED.,.F.,.F.,(4,4),(2.99289962371056E-7,0.000229412735848512), .UNSPECIFIED.); #227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8921,#8922,#8923,#8924), .UNSPECIFIED.,.F.,.F.,(4,4),(3.0064082020597E-7,0.000890296970435715), .UNSPECIFIED.); #228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8988,#8989,#8990,#8991), .UNSPECIFIED.,.F.,.F.,(4,4),(1.98311672850926E-7,0.000230273211350933), .UNSPECIFIED.); #229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8993,#8994,#8995,#8996), .UNSPECIFIED.,.F.,.F.,(4,4),(2.81305227425759E-7,0.00022820590148463), .UNSPECIFIED.); #230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#8998,#8999,#9000,#9001,#9002), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.8275897089826E-7,0.000509067703712567, 0.00101785264845424),.UNSPECIFIED.); #231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9006,#9007,#9008,#9009,#9010), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.24297960017896E-7,0.000538685633946327, 0.00107714696993264),.UNSPECIFIED.); #232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9012,#9013,#9014,#9015), .UNSPECIFIED.,.F.,.F.,(4,4),(2.23685276588777E-7,0.000230299097152325), .UNSPECIFIED.); #233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9016,#9017,#9018,#9019), .UNSPECIFIED.,.F.,.F.,(4,4),(2.63476248626838E-7,0.000228187716756767), .UNSPECIFIED.); #234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9021,#9022,#9023,#9024,#9025), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.64767986034136E-7,0.000509050034873159, 0.00101783530176028),.UNSPECIFIED.); #235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9029,#9030,#9031,#9032,#9033), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.19930331645737E-7,0.0005386809172028, 0.00107714190407395),.UNSPECIFIED.); #236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9035,#9036,#9037,#9038), .UNSPECIFIED.,.F.,.F.,(4,4),(2.17860081822318E-7,0.000230292881763456), .UNSPECIFIED.); #237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9039,#9040,#9041,#9042), .UNSPECIFIED.,.F.,.F.,(4,4),(3.45104371199788E-7,0.00022827132976371), .UNSPECIFIED.); #238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9044,#9045,#9046,#9047,#9048), .UNSPECIFIED.,.F.,.F.,(4,1,4),(3.46968829657119E-7,0.000509131764529718, 0.00101791656022978),.UNSPECIFIED.); #239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9052,#9053,#9054,#9055,#9056), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.00965375647327E-7,0.000538662184048572, 0.0010771234027215),.UNSPECIFIED.); #240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9058,#9059,#9060,#9061), .UNSPECIFIED.,.F.,.F.,(4,4),(1.9994137851312E-7,0.000230275261447137), .UNSPECIFIED.); #241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9062,#9063,#9064,#9065), .UNSPECIFIED.,.F.,.F.,(4,4),(2.58780466242007E-7,0.000228182854301419), .UNSPECIFIED.); #242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9067,#9068,#9069,#9070,#9071), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.60083328886289E-7,0.000509045167381262, 0.00101783025143364),.UNSPECIFIED.); #243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9075,#9076,#9077,#9078,#9079), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.85373098297938E-7,0.00053874765084914, 0.00107720992859998),.UNSPECIFIED.); #244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9081,#9082,#9083,#9084), .UNSPECIFIED.,.F.,.F.,(4,4),(2.71098475714366E-7,0.00023034692322269), .UNSPECIFIED.); #245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9085,#9086,#9087,#9088), .UNSPECIFIED.,.F.,.F.,(4,4),(2.98081171803143E-7,0.000228223140881302), .UNSPECIFIED.); #246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9090,#9091,#9092,#9093,#9094), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.99481038500667E-7,0.000509084456466529, 0.00101786943189456),.UNSPECIFIED.); #247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9098,#9099,#9100,#9101,#9102), .UNSPECIFIED.,.F.,.F.,(4,1,4),(1.99741465226094E-7,0.000538660602957795, 0.00107712146445036),.UNSPECIFIED.); #248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9104,#9105,#9106,#9107), .UNSPECIFIED.,.F.,.F.,(4,4),(1.98311680757695E-7,0.000230272974702331), .UNSPECIFIED.); #249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9108,#9109,#9110,#9111), .UNSPECIFIED.,.F.,.F.,(4,4),(2.81305224565392E-7,0.000228205919837544), .UNSPECIFIED.); #250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9113,#9114,#9115,#9116,#9117), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.82758971594643E-7,0.000509067694751494, 0.00101785263053139),.UNSPECIFIED.); #251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9121,#9122,#9123,#9124,#9125), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.24297960032932E-7,0.000538685718156197, 0.00107714713835236),.UNSPECIFIED.); #252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9127,#9128,#9129,#9130), .UNSPECIFIED.,.F.,.F.,(4,4),(2.23685276587169E-7,0.000230298929859435), .UNSPECIFIED.); #253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9131,#9132,#9133,#9134), .UNSPECIFIED.,.F.,.F.,(4,4),(2.63476250535827E-7,0.000228187720517357), .UNSPECIFIED.); #254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9136,#9137,#9138,#9139,#9140), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.64767985646089E-7,0.000509050033037731, 0.00101783529808982),.UNSPECIFIED.); #255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9144,#9145,#9146,#9147,#9148), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.19930331632034E-7,0.000538680921217484, 0.00107714191210334),.UNSPECIFIED.); #256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9150,#9151,#9152,#9153), .UNSPECIFIED.,.F.,.F.,(4,4),(2.17860075502373E-7,0.000230292886220107), .UNSPECIFIED.); #257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9154,#9155,#9156,#9157), .UNSPECIFIED.,.F.,.F.,(4,4),(3.45104395058814E-7,0.000228271299282843), .UNSPECIFIED.); #258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9159,#9160,#9161,#9162,#9163), .UNSPECIFIED.,.F.,.F.,(4,1,4),(3.4696882441513E-7,0.000509131779417987, 0.00101791659001156),.UNSPECIFIED.); #259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9167,#9168,#9169,#9170,#9171), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.00965375651981E-7,0.000538662185722253, 0.00107712340606885),.UNSPECIFIED.); #260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9173,#9174,#9175,#9176), .UNSPECIFIED.,.F.,.F.,(4,4),(1.99941380945452E-7,0.00023027527056361), .UNSPECIFIED.); #261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9177,#9178,#9179,#9180), .UNSPECIFIED.,.F.,.F.,(4,4),(2.58780457730952E-7,0.000228182809332337), .UNSPECIFIED.); #262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9182,#9183,#9184,#9185,#9186), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.60083330479851E-7,0.000509045189333542, 0.0010178302953366),.UNSPECIFIED.); #263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9190,#9191,#9192,#9193,#9194), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.85373098291167E-7,0.000538747566638605, 0.00107720976017892),.UNSPECIFIED.); #264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9196,#9197,#9198,#9199), .UNSPECIFIED.,.F.,.F.,(4,4),(2.71098391776272E-7,0.000230347156481927), .UNSPECIFIED.); #265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9200,#9201,#9202,#9203), .UNSPECIFIED.,.F.,.F.,(4,4),(2.98081148688313E-7,0.000228223117537054), .UNSPECIFIED.); #266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9205,#9206,#9207,#9208,#9209), .UNSPECIFIED.,.F.,.F.,(4,1,4),(2.99481043270752E-7,0.000509084467856932, 0.00101786945467059),.UNSPECIFIED.); #267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9213,#9214,#9215,#9216,#9217), .UNSPECIFIED.,.F.,.F.,(4,1,4),(1.99741465185713E-7,0.000538660517075027, 0.00107712129268487),.UNSPECIFIED.); #268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9409,#9410,#9411,#9412), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9476,#9477,#9478,#9479), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9480,#9481,#9482,#9483), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9488,#9489,#9490,#9491), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9492,#9493,#9494,#9495), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9500,#9501,#9502,#9503), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9504,#9505,#9506,#9507), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9512,#9513,#9514,#9515), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9516,#9517,#9518,#9519), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9524,#9525,#9526,#9527), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9528,#9529,#9530,#9531), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9536,#9537,#9538,#9539), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9540,#9541,#9542,#9543), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9548,#9549,#9550,#9551), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9552,#9553,#9554,#9555), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9560,#9561,#9562,#9563), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9564,#9565,#9566,#9567), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9572,#9573,#9574,#9575), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9576,#9577,#9578,#9579), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9584,#9585,#9586,#9587), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#9588,#9589,#9590,#9591), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #289=CONICAL_SURFACE('',#6209,8.5,0.785398163397448); #290=CONICAL_SURFACE('',#6212,8.5,0.785398163397448); #291=CONICAL_SURFACE('',#6215,8.5,0.785398163397448); #292=CONICAL_SURFACE('',#6218,8.5,0.785398163397448); #293=CONICAL_SURFACE('',#6221,8.5,0.785398163397448); #294=CONICAL_SURFACE('',#6224,8.5,0.785398163397448); #295=CONICAL_SURFACE('',#6227,8.5,0.785398163397448); #296=CONICAL_SURFACE('',#6230,8.5,0.785398163397448); #297=CONICAL_SURFACE('',#6233,8.5,0.785398163397448); #298=CONICAL_SURFACE('',#6236,8.5,0.785398163397448); #299=CONICAL_SURFACE('',#6259,9.,0.785398163397448); #300=CONICAL_SURFACE('',#6341,10.5,0.785398163397448); #301=LINE('',#8540,#793); #302=LINE('',#8544,#794); #303=LINE('',#8548,#795); #304=LINE('',#8552,#796); #305=LINE('',#8556,#797); #306=LINE('',#8560,#798); #307=LINE('',#8564,#799); #308=LINE('',#8568,#800); #309=LINE('',#8573,#801); #310=LINE('',#8576,#802); #311=LINE('',#8582,#803); #312=LINE('',#8591,#804); #313=LINE('',#8594,#805); #314=LINE('',#8596,#806); #315=LINE('',#8598,#807); #316=LINE('',#8600,#808); #317=LINE('',#8602,#809); #318=LINE('',#8604,#810); #319=LINE('',#8606,#811); #320=LINE('',#8607,#812); #321=LINE('',#8610,#813); #322=LINE('',#8612,#814); #323=LINE('',#8614,#815); #324=LINE('',#8616,#816); #325=LINE('',#8618,#817); #326=LINE('',#8620,#818); #327=LINE('',#8621,#819); #328=LINE('',#8626,#820); #329=LINE('',#8628,#821); #330=LINE('',#8630,#822); #331=LINE('',#8632,#823); #332=LINE('',#8634,#824); #333=LINE('',#8636,#825); #334=LINE('',#8640,#826); #335=LINE('',#8645,#827); #336=LINE('',#8649,#828); #337=LINE('',#8654,#829); #338=LINE('',#8658,#830); #339=LINE('',#8663,#831); #340=LINE('',#8667,#832); #341=LINE('',#8672,#833); #342=LINE('',#8926,#834); #343=LINE('',#8928,#835); #344=LINE('',#8932,#836); #345=LINE('',#8936,#837); #346=LINE('',#8938,#838); #347=LINE('',#8942,#839); #348=LINE('',#8944,#840); #349=LINE('',#8948,#841); #350=LINE('',#8950,#842); #351=LINE('',#8954,#843); #352=LINE('',#8956,#844); #353=LINE('',#8960,#845); #354=LINE('',#8962,#846); #355=LINE('',#8966,#847); #356=LINE('',#8968,#848); #357=LINE('',#8972,#849); #358=LINE('',#8974,#850); #359=LINE('',#8978,#851); #360=LINE('',#8980,#852); #361=LINE('',#8984,#853); #362=LINE('',#9228,#854); #363=LINE('',#9236,#855); #364=LINE('',#9244,#856); #365=LINE('',#9252,#857); #366=LINE('',#9260,#858); #367=LINE('',#9268,#859); #368=LINE('',#9276,#860); #369=LINE('',#9284,#861); #370=LINE('',#9292,#862); #371=LINE('',#9300,#863); #372=LINE('',#9308,#864); #373=LINE('',#9316,#865); #374=LINE('',#9324,#866); #375=LINE('',#9332,#867); #376=LINE('',#9340,#868); #377=LINE('',#9348,#869); #378=LINE('',#9356,#870); #379=LINE('',#9364,#871); #380=LINE('',#9372,#872); #381=LINE('',#9380,#873); #382=LINE('',#9384,#874); #383=LINE('',#9389,#875); #384=LINE('',#9393,#876); #385=LINE('',#9397,#877); #386=LINE('',#9401,#878); #387=LINE('',#9405,#879); #388=LINE('',#9417,#880); #389=LINE('',#9421,#881); #390=LINE('',#9422,#882); #391=LINE('',#9426,#883); #392=LINE('',#9427,#884); #393=LINE('',#9431,#885); #394=LINE('',#9432,#886); #395=LINE('',#9436,#887); #396=LINE('',#9437,#888); #397=LINE('',#9441,#889); #398=LINE('',#9442,#890); #399=LINE('',#9446,#891); #400=LINE('',#9447,#892); #401=LINE('',#9451,#893); #402=LINE('',#9452,#894); #403=LINE('',#9456,#895); #404=LINE('',#9457,#896); #405=LINE('',#9461,#897); #406=LINE('',#9462,#898); #407=LINE('',#9466,#899); #408=LINE('',#9474,#900); #409=LINE('',#9485,#901); #410=LINE('',#9486,#902); #411=LINE('',#9497,#903); #412=LINE('',#9498,#904); #413=LINE('',#9509,#905); #414=LINE('',#9510,#906); #415=LINE('',#9521,#907); #416=LINE('',#9522,#908); #417=LINE('',#9533,#909); #418=LINE('',#9534,#910); #419=LINE('',#9545,#911); #420=LINE('',#9546,#912); #421=LINE('',#9557,#913); #422=LINE('',#9558,#914); #423=LINE('',#9569,#915); #424=LINE('',#9570,#916); #425=LINE('',#9581,#917); #426=LINE('',#9582,#918); #427=LINE('',#9593,#919); #428=LINE('',#9600,#920); #429=LINE('',#9602,#921); #430=LINE('',#9604,#922); #431=LINE('',#9608,#923); #432=LINE('',#9612,#924); #433=LINE('',#9616,#925); #434=LINE('',#9618,#926); #435=LINE('',#9622,#927); #436=LINE('',#9627,#928); #437=LINE('',#9629,#929); #438=LINE('',#9631,#930); #439=LINE('',#9635,#931); #440=LINE('',#9639,#932); #441=LINE('',#9643,#933); #442=LINE('',#9645,#934); #443=LINE('',#9647,#935); #444=LINE('',#9654,#936); #445=LINE('',#9656,#937); #446=LINE('',#9658,#938); #447=LINE('',#9662,#939); #448=LINE('',#9666,#940); #449=LINE('',#9670,#941); #450=LINE('',#9672,#942); #451=LINE('',#9674,#943); #452=LINE('',#9681,#944); #453=LINE('',#9683,#945); #454=LINE('',#9685,#946); #455=LINE('',#9689,#947); #456=LINE('',#9693,#948); #457=LINE('',#9697,#949); #458=LINE('',#9699,#950); #459=LINE('',#9701,#951); #460=LINE('',#9708,#952); #461=LINE('',#9710,#953); #462=LINE('',#9712,#954); #463=LINE('',#9716,#955); #464=LINE('',#9720,#956); #465=LINE('',#9724,#957); #466=LINE('',#9726,#958); #467=LINE('',#9728,#959); #468=LINE('',#9735,#960); #469=LINE('',#9737,#961); #470=LINE('',#9739,#962); #471=LINE('',#9743,#963); #472=LINE('',#9747,#964); #473=LINE('',#9751,#965); #474=LINE('',#9753,#966); #475=LINE('',#9755,#967); #476=LINE('',#9762,#968); #477=LINE('',#9764,#969); #478=LINE('',#9766,#970); #479=LINE('',#9770,#971); #480=LINE('',#9774,#972); #481=LINE('',#9778,#973); #482=LINE('',#9780,#974); #483=LINE('',#9782,#975); #484=LINE('',#9789,#976); #485=LINE('',#9791,#977); #486=LINE('',#9793,#978); #487=LINE('',#9797,#979); #488=LINE('',#9801,#980); #489=LINE('',#9805,#981); #490=LINE('',#9807,#982); #491=LINE('',#9809,#983); #492=LINE('',#9816,#984); #493=LINE('',#9818,#985); #494=LINE('',#9820,#986); #495=LINE('',#9824,#987); #496=LINE('',#9828,#988); #497=LINE('',#9832,#989); #498=LINE('',#9834,#990); #499=LINE('',#9836,#991); #500=LINE('',#9843,#992); #501=LINE('',#9851,#993); #502=LINE('',#9855,#994); #503=LINE('',#9857,#995); #504=LINE('',#9859,#996); #505=LINE('',#9861,#997); #506=LINE('',#9863,#998); #507=LINE('',#9865,#999); #508=LINE('',#9868,#1000); #509=LINE('',#9871,#1001); #510=LINE('',#9874,#1002); #511=LINE('',#9877,#1003); #512=LINE('',#9880,#1004); #513=LINE('',#9883,#1005); #514=LINE('',#9886,#1006); #515=LINE('',#9889,#1007); #516=LINE('',#9892,#1008); #517=LINE('',#9895,#1009); #518=LINE('',#9898,#1010); #519=LINE('',#9901,#1011); #520=LINE('',#9904,#1012); #521=LINE('',#9907,#1013); #522=LINE('',#9910,#1014); #523=LINE('',#9913,#1015); #524=LINE('',#9916,#1016); #525=LINE('',#9919,#1017); #526=LINE('',#9922,#1018); #527=LINE('',#9925,#1019); #528=LINE('',#9931,#1020); #529=LINE('',#9933,#1021); #530=LINE('',#9935,#1022); #531=LINE('',#9937,#1023); #532=LINE('',#9939,#1024); #533=LINE('',#9941,#1025); #534=LINE('',#9943,#1026); #535=LINE('',#9945,#1027); #536=LINE('',#9947,#1028); #537=LINE('',#9949,#1029); #538=LINE('',#9951,#1030); #539=LINE('',#9953,#1031); #540=LINE('',#9955,#1032); #541=LINE('',#9957,#1033); #542=LINE('',#9959,#1034); #543=LINE('',#9961,#1035); #544=LINE('',#9964,#1036); #545=LINE('',#9966,#1037); #546=LINE('',#9968,#1038); #547=LINE('',#9970,#1039); #548=LINE('',#9972,#1040); #549=LINE('',#9974,#1041); #550=LINE('',#9976,#1042); #551=LINE('',#9977,#1043); #552=LINE('',#9979,#1044); #553=LINE('',#9983,#1045); #554=LINE('',#9985,#1046); #555=LINE('',#9987,#1047); #556=LINE('',#9989,#1048); #557=LINE('',#9991,#1049); #558=LINE('',#9993,#1050); #559=LINE('',#9995,#1051); #560=LINE('',#9997,#1052); #561=LINE('',#10001,#1053); #562=LINE('',#10004,#1054); #563=LINE('',#10006,#1055); #564=LINE('',#10008,#1056); #565=LINE('',#10012,#1057); #566=LINE('',#10016,#1058); #567=LINE('',#10018,#1059); #568=LINE('',#10020,#1060); #569=LINE('',#10022,#1061); #570=LINE('',#10025,#1062); #571=LINE('',#10027,#1063); #572=LINE('',#10029,#1064); #573=LINE('',#10031,#1065); #574=LINE('',#10033,#1066); #575=LINE('',#10035,#1067); #576=LINE('',#10039,#1068); #577=LINE('',#10043,#1069); #578=LINE('',#10044,#1070); #579=LINE('',#10046,#1071); #580=LINE('',#10047,#1072); #581=LINE('',#10061,#1073); #582=LINE('',#10064,#1074); #583=LINE('',#10065,#1075); #584=LINE('',#10078,#1076); #585=LINE('',#10089,#1077); #586=LINE('',#10091,#1078); #587=LINE('',#10100,#1079); #588=LINE('',#10111,#1080); #589=LINE('',#10113,#1081); #590=LINE('',#10126,#1082); #591=LINE('',#10137,#1083); #592=LINE('',#10139,#1084); #593=LINE('',#10148,#1085); #594=LINE('',#10159,#1086); #595=LINE('',#10161,#1087); #596=LINE('',#10174,#1088); #597=LINE('',#10185,#1089); #598=LINE('',#10187,#1090); #599=LINE('',#10201,#1091); #600=LINE('',#10205,#1092); #601=LINE('',#10209,#1093); #602=LINE('',#10213,#1094); #603=LINE('',#10217,#1095); #604=LINE('',#10221,#1096); #605=LINE('',#10225,#1097); #606=LINE('',#10229,#1098); #607=LINE('',#10233,#1099); #608=LINE('',#10237,#1100); #609=LINE('',#10239,#1101); #610=LINE('',#10240,#1102); #611=LINE('',#10242,#1103); #612=LINE('',#10244,#1104); #613=LINE('',#10246,#1105); #614=LINE('',#10247,#1106); #615=LINE('',#10248,#1107); #616=LINE('',#10252,#1108); #617=LINE('',#10253,#1109); #618=LINE('',#10254,#1110); #619=LINE('',#10255,#1111); #620=LINE('',#10256,#1112); #621=LINE('',#10260,#1113); #622=LINE('',#10262,#1114); #623=LINE('',#10265,#1115); #624=LINE('',#10267,#1116); #625=LINE('',#10270,#1117); #626=LINE('',#10272,#1118); #627=LINE('',#10276,#1119); #628=LINE('',#10278,#1120); #629=LINE('',#10280,#1121); #630=LINE('',#10282,#1122); #631=LINE('',#10300,#1123); #632=LINE('',#10305,#1124); #633=LINE('',#10307,#1125); #634=LINE('',#10309,#1126); #635=LINE('',#10311,#1127); #636=LINE('',#10313,#1128); #637=LINE('',#10315,#1129); #638=LINE('',#10318,#1130); #639=LINE('',#10321,#1131); #640=LINE('',#10323,#1132); #641=LINE('',#10325,#1133); #642=LINE('',#10327,#1134); #643=LINE('',#10329,#1135); #644=LINE('',#10331,#1136); #645=LINE('',#10337,#1137); #646=LINE('',#10339,#1138); #647=LINE('',#10341,#1139); #648=LINE('',#10342,#1140); #649=LINE('',#10345,#1141); #650=LINE('',#10347,#1142); #651=LINE('',#10349,#1143); #652=LINE('',#10351,#1144); #653=LINE('',#10353,#1145); #654=LINE('',#10355,#1146); #655=LINE('',#10357,#1147); #656=LINE('',#10365,#1148); #657=LINE('',#10367,#1149); #658=LINE('',#10369,#1150); #659=LINE('',#10371,#1151); #660=LINE('',#10373,#1152); #661=LINE('',#10375,#1153); #662=LINE('',#10377,#1154); #663=LINE('',#10379,#1155); #664=LINE('',#10381,#1156); #665=LINE('',#10383,#1157); #666=LINE('',#10385,#1158); #667=LINE('',#10387,#1159); #668=LINE('',#10389,#1160); #669=LINE('',#10391,#1161); #670=LINE('',#10394,#1162); #671=LINE('',#10398,#1163); #672=LINE('',#10400,#1164); #673=LINE('',#10402,#1165); #674=LINE('',#10404,#1166); #675=LINE('',#10408,#1167); #676=LINE('',#10410,#1168); #677=LINE('',#10412,#1169); #678=LINE('',#10414,#1170); #679=LINE('',#10416,#1171); #680=LINE('',#10418,#1172); #681=LINE('',#10420,#1173); #682=LINE('',#10422,#1174); #683=LINE('',#10424,#1175); #684=LINE('',#10426,#1176); #685=LINE('',#10428,#1177); #686=LINE('',#10447,#1178); #687=LINE('',#10449,#1179); #688=LINE('',#10451,#1180); #689=LINE('',#10453,#1181); #690=LINE('',#10455,#1182); #691=LINE('',#10457,#1183); #692=LINE('',#10459,#1184); #693=LINE('',#10461,#1185); #694=LINE('',#10463,#1186); #695=LINE('',#10465,#1187); #696=LINE('',#10467,#1188); #697=LINE('',#10469,#1189); #698=LINE('',#10471,#1190); #699=LINE('',#10473,#1191); #700=LINE('',#10475,#1192); #701=LINE('',#10477,#1193); #702=LINE('',#10480,#1194); #703=LINE('',#10482,#1195); #704=LINE('',#10484,#1196); #705=LINE('',#10486,#1197); #706=LINE('',#10488,#1198); #707=LINE('',#10490,#1199); #708=LINE('',#10492,#1200); #709=LINE('',#10493,#1201); #710=LINE('',#10495,#1202); #711=LINE('',#10499,#1203); #712=LINE('',#10503,#1204); #713=LINE('',#10507,#1205); #714=LINE('',#10509,#1206); #715=LINE('',#10511,#1207); #716=LINE('',#10513,#1208); #717=LINE('',#10515,#1209); #718=LINE('',#10517,#1210); #719=LINE('',#10520,#1211); #720=LINE('',#10522,#1212); #721=LINE('',#10524,#1213); #722=LINE('',#10526,#1214); #723=LINE('',#10528,#1215); #724=LINE('',#10530,#1216); #725=LINE('',#10532,#1217); #726=LINE('',#10534,#1218); #727=LINE('',#10536,#1219); #728=LINE('',#10538,#1220); #729=LINE('',#10541,#1221); #730=LINE('',#10543,#1222); #731=LINE('',#10545,#1223); #732=LINE('',#10547,#1224); #733=LINE('',#10549,#1225); #734=LINE('',#10551,#1226); #735=LINE('',#10553,#1227); #736=LINE('',#10555,#1228); #737=LINE('',#10557,#1229); #738=LINE('',#10565,#1230); #739=LINE('',#10570,#1231); #740=LINE('',#10572,#1232); #741=LINE('',#10574,#1233); #742=LINE('',#10576,#1234); #743=LINE('',#10578,#1235); #744=LINE('',#10580,#1236); #745=LINE('',#10582,#1237); #746=LINE('',#10584,#1238); #747=LINE('',#10586,#1239); #748=LINE('',#10588,#1240); #749=LINE('',#10590,#1241); #750=LINE('',#10592,#1242); #751=LINE('',#10594,#1243); #752=LINE('',#10596,#1244); #753=LINE('',#10599,#1245); #754=LINE('',#10601,#1246); #755=LINE('',#10603,#1247); #756=LINE('',#10607,#1248); #757=LINE('',#10609,#1249); #758=LINE('',#10611,#1250); #759=LINE('',#10613,#1251); #760=LINE('',#10615,#1252); #761=LINE('',#10617,#1253); #762=LINE('',#10619,#1254); #763=LINE('',#10621,#1255); #764=LINE('',#10623,#1256); #765=LINE('',#10625,#1257); #766=LINE('',#10627,#1258); #767=LINE('',#10629,#1259); #768=LINE('',#10631,#1260); #769=LINE('',#10728,#1261); #770=LINE('',#10730,#1262); #771=LINE('',#10732,#1263); #772=LINE('',#10734,#1264); #773=LINE('',#10738,#1265); #774=LINE('',#10740,#1266); #775=LINE('',#10742,#1267); #776=LINE('',#10746,#1268); #777=LINE('',#10748,#1269); #778=LINE('',#10750,#1270); #779=LINE('',#10754,#1271); #780=LINE('',#10756,#1272); #781=LINE('',#10758,#1273); #782=LINE('',#10762,#1274); #783=LINE('',#10764,#1275); #784=LINE('',#10766,#1276); #785=LINE('',#10770,#1277); #786=LINE('',#10772,#1278); #787=LINE('',#10774,#1279); #788=LINE('',#10778,#1280); #789=LINE('',#10780,#1281); #790=LINE('',#10782,#1282); #791=LINE('',#10786,#1283); #792=LINE('',#10788,#1284); #793=VECTOR('',#6805,1000.); #794=VECTOR('',#6808,1000.); #795=VECTOR('',#6811,1000.); #796=VECTOR('',#6814,1000.); #797=VECTOR('',#6817,1000.); #798=VECTOR('',#6820,1000.); #799=VECTOR('',#6823,1000.); #800=VECTOR('',#6826,1000.); #801=VECTOR('',#6831,1000.); #802=VECTOR('',#6832,1000.); #803=VECTOR('',#6837,1000.); #804=VECTOR('',#6846,1000.); #805=VECTOR('',#6847,1000.); #806=VECTOR('',#6848,1000.); #807=VECTOR('',#6849,1000.); #808=VECTOR('',#6850,1000.); #809=VECTOR('',#6851,1000.); #810=VECTOR('',#6852,1000.); #811=VECTOR('',#6853,1000.); #812=VECTOR('',#6854,1000.); #813=VECTOR('',#6855,1000.); #814=VECTOR('',#6856,1000.); #815=VECTOR('',#6857,1000.); #816=VECTOR('',#6858,1000.); #817=VECTOR('',#6859,1000.); #818=VECTOR('',#6860,1000.); #819=VECTOR('',#6861,1000.); #820=VECTOR('',#6864,1000.); #821=VECTOR('',#6865,1000.); #822=VECTOR('',#6866,1000.); #823=VECTOR('',#6867,1000.); #824=VECTOR('',#6868,1000.); #825=VECTOR('',#6869,1000.); #826=VECTOR('',#6874,1000.); #827=VECTOR('',#6877,1000.); #828=VECTOR('',#6882,1000.); #829=VECTOR('',#6885,1000.); #830=VECTOR('',#6890,1000.); #831=VECTOR('',#6893,1000.); #832=VECTOR('',#6898,1000.); #833=VECTOR('',#6901,1000.); #834=VECTOR('',#6966,1000.); #835=VECTOR('',#6967,1000.); #836=VECTOR('',#6972,1000.); #837=VECTOR('',#6975,1000.); #838=VECTOR('',#6978,1000.); #839=VECTOR('',#6981,1000.); #840=VECTOR('',#6984,1000.); #841=VECTOR('',#6987,1000.); #842=VECTOR('',#6990,1000.); #843=VECTOR('',#6993,1000.); #844=VECTOR('',#6996,1000.); #845=VECTOR('',#6999,1000.); #846=VECTOR('',#7002,1000.); #847=VECTOR('',#7005,1000.); #848=VECTOR('',#7008,1000.); #849=VECTOR('',#7011,1000.); #850=VECTOR('',#7014,1000.); #851=VECTOR('',#7017,1000.); #852=VECTOR('',#7020,1000.); #853=VECTOR('',#7023,1000.); #854=VECTOR('',#7058,1000.); #855=VECTOR('',#7065,1000.); #856=VECTOR('',#7072,1000.); #857=VECTOR('',#7079,1000.); #858=VECTOR('',#7086,1000.); #859=VECTOR('',#7093,1000.); #860=VECTOR('',#7100,1000.); #861=VECTOR('',#7107,1000.); #862=VECTOR('',#7114,1000.); #863=VECTOR('',#7121,1000.); #864=VECTOR('',#7128,1000.); #865=VECTOR('',#7135,1000.); #866=VECTOR('',#7142,1000.); #867=VECTOR('',#7149,1000.); #868=VECTOR('',#7156,1000.); #869=VECTOR('',#7163,1000.); #870=VECTOR('',#7170,1000.); #871=VECTOR('',#7177,1000.); #872=VECTOR('',#7184,1000.); #873=VECTOR('',#7191,1000.); #874=VECTOR('',#7196,1000.); #875=VECTOR('',#7199,1000.); #876=VECTOR('',#7202,1000.); #877=VECTOR('',#7205,1000.); #878=VECTOR('',#7208,1000.); #879=VECTOR('',#7211,1000.); #880=VECTOR('',#7220,1000.); #881=VECTOR('',#7223,1000.); #882=VECTOR('',#7224,1000.); #883=VECTOR('',#7227,1000.); #884=VECTOR('',#7228,1000.); #885=VECTOR('',#7231,1000.); #886=VECTOR('',#7232,1000.); #887=VECTOR('',#7235,1000.); #888=VECTOR('',#7236,1000.); #889=VECTOR('',#7239,1000.); #890=VECTOR('',#7240,1000.); #891=VECTOR('',#7243,1000.); #892=VECTOR('',#7244,1000.); #893=VECTOR('',#7247,1000.); #894=VECTOR('',#7248,1000.); #895=VECTOR('',#7251,1000.); #896=VECTOR('',#7252,1000.); #897=VECTOR('',#7255,1000.); #898=VECTOR('',#7256,1000.); #899=VECTOR('',#7259,1000.); #900=VECTOR('',#7270,1000.); #901=VECTOR('',#7271,1000.); #902=VECTOR('',#7272,1000.); #903=VECTOR('',#7273,1000.); #904=VECTOR('',#7274,1000.); #905=VECTOR('',#7275,1000.); #906=VECTOR('',#7276,1000.); #907=VECTOR('',#7277,1000.); #908=VECTOR('',#7278,1000.); #909=VECTOR('',#7279,1000.); #910=VECTOR('',#7280,1000.); #911=VECTOR('',#7281,1000.); #912=VECTOR('',#7282,1000.); #913=VECTOR('',#7283,1000.); #914=VECTOR('',#7284,1000.); #915=VECTOR('',#7285,1000.); #916=VECTOR('',#7286,1000.); #917=VECTOR('',#7287,1000.); #918=VECTOR('',#7288,1000.); #919=VECTOR('',#7289,1000.); #920=VECTOR('',#7298,1000.); #921=VECTOR('',#7301,1000.); #922=VECTOR('',#7302,1000.); #923=VECTOR('',#7307,1000.); #924=VECTOR('',#7312,1000.); #925=VECTOR('',#7317,1000.); #926=VECTOR('',#7320,1000.); #927=VECTOR('',#7325,1000.); #928=VECTOR('',#7332,1000.); #929=VECTOR('',#7335,1000.); #930=VECTOR('',#7336,1000.); #931=VECTOR('',#7341,1000.); #932=VECTOR('',#7346,1000.); #933=VECTOR('',#7351,1000.); #934=VECTOR('',#7354,1000.); #935=VECTOR('',#7355,1000.); #936=VECTOR('',#7366,1000.); #937=VECTOR('',#7369,1000.); #938=VECTOR('',#7370,1000.); #939=VECTOR('',#7375,1000.); #940=VECTOR('',#7380,1000.); #941=VECTOR('',#7385,1000.); #942=VECTOR('',#7388,1000.); #943=VECTOR('',#7389,1000.); #944=VECTOR('',#7400,1000.); #945=VECTOR('',#7403,1000.); #946=VECTOR('',#7404,1000.); #947=VECTOR('',#7409,1000.); #948=VECTOR('',#7414,1000.); #949=VECTOR('',#7419,1000.); #950=VECTOR('',#7422,1000.); #951=VECTOR('',#7423,1000.); #952=VECTOR('',#7434,1000.); #953=VECTOR('',#7437,1000.); #954=VECTOR('',#7438,1000.); #955=VECTOR('',#7443,1000.); #956=VECTOR('',#7448,1000.); #957=VECTOR('',#7453,1000.); #958=VECTOR('',#7456,1000.); #959=VECTOR('',#7457,1000.); #960=VECTOR('',#7468,1000.); #961=VECTOR('',#7471,1000.); #962=VECTOR('',#7472,1000.); #963=VECTOR('',#7477,1000.); #964=VECTOR('',#7482,1000.); #965=VECTOR('',#7487,1000.); #966=VECTOR('',#7490,1000.); #967=VECTOR('',#7491,1000.); #968=VECTOR('',#7502,1000.); #969=VECTOR('',#7505,1000.); #970=VECTOR('',#7506,1000.); #971=VECTOR('',#7511,1000.); #972=VECTOR('',#7516,1000.); #973=VECTOR('',#7521,1000.); #974=VECTOR('',#7524,1000.); #975=VECTOR('',#7525,1000.); #976=VECTOR('',#7536,1000.); #977=VECTOR('',#7539,1000.); #978=VECTOR('',#7540,1000.); #979=VECTOR('',#7545,1000.); #980=VECTOR('',#7550,1000.); #981=VECTOR('',#7555,1000.); #982=VECTOR('',#7558,1000.); #983=VECTOR('',#7559,1000.); #984=VECTOR('',#7570,1000.); #985=VECTOR('',#7573,1000.); #986=VECTOR('',#7574,1000.); #987=VECTOR('',#7579,1000.); #988=VECTOR('',#7584,1000.); #989=VECTOR('',#7589,1000.); #990=VECTOR('',#7592,1000.); #991=VECTOR('',#7593,1000.); #992=VECTOR('',#7604,1000.); #993=VECTOR('',#7611,1000.); #994=VECTOR('',#7616,1000.); #995=VECTOR('',#7619,1000.); #996=VECTOR('',#7622,1000.); #997=VECTOR('',#7625,1000.); #998=VECTOR('',#7628,1000.); #999=VECTOR('',#7631,1000.); #1000=VECTOR('',#7636,1000.); #1001=VECTOR('',#7641,1000.); #1002=VECTOR('',#7646,1000.); #1003=VECTOR('',#7651,1000.); #1004=VECTOR('',#7656,1000.); #1005=VECTOR('',#7661,1000.); #1006=VECTOR('',#7666,1000.); #1007=VECTOR('',#7671,1000.); #1008=VECTOR('',#7676,1000.); #1009=VECTOR('',#7681,1000.); #1010=VECTOR('',#7686,1000.); #1011=VECTOR('',#7691,1000.); #1012=VECTOR('',#7696,1000.); #1013=VECTOR('',#7701,1000.); #1014=VECTOR('',#7706,1000.); #1015=VECTOR('',#7711,1000.); #1016=VECTOR('',#7716,1000.); #1017=VECTOR('',#7721,1000.); #1018=VECTOR('',#7726,1000.); #1019=VECTOR('',#7731,1000.); #1020=VECTOR('',#7742,1000.); #1021=VECTOR('',#7743,1000.); #1022=VECTOR('',#7744,1000.); #1023=VECTOR('',#7747,1000.); #1024=VECTOR('',#7748,1000.); #1025=VECTOR('',#7751,1000.); #1026=VECTOR('',#7752,1000.); #1027=VECTOR('',#7755,1000.); #1028=VECTOR('',#7756,1000.); #1029=VECTOR('',#7759,1000.); #1030=VECTOR('',#7760,1000.); #1031=VECTOR('',#7763,1000.); #1032=VECTOR('',#7764,1000.); #1033=VECTOR('',#7767,1000.); #1034=VECTOR('',#7768,1000.); #1035=VECTOR('',#7771,1000.); #1036=VECTOR('',#7772,1000.); #1037=VECTOR('',#7773,1000.); #1038=VECTOR('',#7774,1000.); #1039=VECTOR('',#7777,1000.); #1040=VECTOR('',#7778,1000.); #1041=VECTOR('',#7779,1000.); #1042=VECTOR('',#7782,1000.); #1043=VECTOR('',#7783,1000.); #1044=VECTOR('',#7786,1000.); #1045=VECTOR('',#7793,1000.); #1046=VECTOR('',#7794,1000.); #1047=VECTOR('',#7795,1000.); #1048=VECTOR('',#7798,1000.); #1049=VECTOR('',#7799,1000.); #1050=VECTOR('',#7802,1000.); #1051=VECTOR('',#7803,1000.); #1052=VECTOR('',#7806,1000.); #1053=VECTOR('',#7811,1000.); #1054=VECTOR('',#7812,1000.); #1055=VECTOR('',#7813,1000.); #1056=VECTOR('',#7814,1000.); #1057=VECTOR('',#7819,1000.); #1058=VECTOR('',#7824,1000.); #1059=VECTOR('',#7825,1000.); #1060=VECTOR('',#7826,1000.); #1061=VECTOR('',#7829,1000.); #1062=VECTOR('',#7830,1000.); #1063=VECTOR('',#7831,1000.); #1064=VECTOR('',#7832,1000.); #1065=VECTOR('',#7835,1000.); #1066=VECTOR('',#7836,1000.); #1067=VECTOR('',#7837,1000.); #1068=VECTOR('',#7842,1000.); #1069=VECTOR('',#7847,1000.); #1070=VECTOR('',#7848,1000.); #1071=VECTOR('',#7851,1000.); #1072=VECTOR('',#7852,1000.); #1073=VECTOR('',#7863,1000.); #1074=VECTOR('',#7864,1000.); #1075=VECTOR('',#7865,1000.); #1076=VECTOR('',#7866,1000.); #1077=VECTOR('',#7869,1000.); #1078=VECTOR('',#7870,1000.); #1079=VECTOR('',#7871,1000.); #1080=VECTOR('',#7874,1000.); #1081=VECTOR('',#7875,1000.); #1082=VECTOR('',#7876,1000.); #1083=VECTOR('',#7879,1000.); #1084=VECTOR('',#7880,1000.); #1085=VECTOR('',#7881,1000.); #1086=VECTOR('',#7884,1000.); #1087=VECTOR('',#7885,1000.); #1088=VECTOR('',#7886,1000.); #1089=VECTOR('',#7889,1000.); #1090=VECTOR('',#7890,1000.); #1091=VECTOR('',#7897,1000.); #1092=VECTOR('',#7900,1000.); #1093=VECTOR('',#7903,1000.); #1094=VECTOR('',#7906,1000.); #1095=VECTOR('',#7909,1000.); #1096=VECTOR('',#7912,1000.); #1097=VECTOR('',#7915,1000.); #1098=VECTOR('',#7918,1000.); #1099=VECTOR('',#7921,1000.); #1100=VECTOR('',#7924,1000.); #1101=VECTOR('',#7927,1000.); #1102=VECTOR('',#7928,1000.); #1103=VECTOR('',#7931,1000.); #1104=VECTOR('',#7934,1000.); #1105=VECTOR('',#7937,1000.); #1106=VECTOR('',#7938,1000.); #1107=VECTOR('',#7939,1000.); #1108=VECTOR('',#7942,1000.); #1109=VECTOR('',#7943,1000.); #1110=VECTOR('',#7944,1000.); #1111=VECTOR('',#7945,1000.); #1112=VECTOR('',#7946,1000.); #1113=VECTOR('',#7951,1000.); #1114=VECTOR('',#7954,1000.); #1115=VECTOR('',#7959,1000.); #1116=VECTOR('',#7962,1000.); #1117=VECTOR('',#7967,1000.); #1118=VECTOR('',#7968,1000.); #1119=VECTOR('',#7973,1000.); #1120=VECTOR('',#7976,1000.); #1121=VECTOR('',#7979,1000.); #1122=VECTOR('',#7982,1000.); #1123=VECTOR('',#8007,1000.); #1124=VECTOR('',#8010,1000.); #1125=VECTOR('',#8011,1000.); #1126=VECTOR('',#8012,1000.); #1127=VECTOR('',#8013,1000.); #1128=VECTOR('',#8014,1000.); #1129=VECTOR('',#8015,1000.); #1130=VECTOR('',#8018,1000.); #1131=VECTOR('',#8019,1000.); #1132=VECTOR('',#8020,1000.); #1133=VECTOR('',#8021,1000.); #1134=VECTOR('',#8022,1000.); #1135=VECTOR('',#8023,1000.); #1136=VECTOR('',#8024,1000.); #1137=VECTOR('',#8029,1000.); #1138=VECTOR('',#8030,1000.); #1139=VECTOR('',#8031,1000.); #1140=VECTOR('',#8032,1000.); #1141=VECTOR('',#8033,1000.); #1142=VECTOR('',#8034,1000.); #1143=VECTOR('',#8035,1000.); #1144=VECTOR('',#8036,1000.); #1145=VECTOR('',#8037,1000.); #1146=VECTOR('',#8038,1000.); #1147=VECTOR('',#8039,1000.); #1148=VECTOR('',#8048,1000.); #1149=VECTOR('',#8049,1000.); #1150=VECTOR('',#8050,1000.); #1151=VECTOR('',#8053,1000.); #1152=VECTOR('',#8054,1000.); #1153=VECTOR('',#8057,1000.); #1154=VECTOR('',#8058,1000.); #1155=VECTOR('',#8061,1000.); #1156=VECTOR('',#8062,1000.); #1157=VECTOR('',#8065,1000.); #1158=VECTOR('',#8066,1000.); #1159=VECTOR('',#8069,1000.); #1160=VECTOR('',#8070,1000.); #1161=VECTOR('',#8073,1000.); #1162=VECTOR('',#8078,1000.); #1163=VECTOR('',#8081,1000.); #1164=VECTOR('',#8084,1000.); #1165=VECTOR('',#8085,1000.); #1166=VECTOR('',#8088,1000.); #1167=VECTOR('',#8093,1000.); #1168=VECTOR('',#8094,1000.); #1169=VECTOR('',#8097,1000.); #1170=VECTOR('',#8098,1000.); #1171=VECTOR('',#8101,1000.); #1172=VECTOR('',#8102,1000.); #1173=VECTOR('',#8105,1000.); #1174=VECTOR('',#8106,1000.); #1175=VECTOR('',#8109,1000.); #1176=VECTOR('',#8110,1000.); #1177=VECTOR('',#8113,1000.); #1178=VECTOR('',#8142,1000.); #1179=VECTOR('',#8143,1000.); #1180=VECTOR('',#8144,1000.); #1181=VECTOR('',#8147,1000.); #1182=VECTOR('',#8148,1000.); #1183=VECTOR('',#8151,1000.); #1184=VECTOR('',#8152,1000.); #1185=VECTOR('',#8155,1000.); #1186=VECTOR('',#8156,1000.); #1187=VECTOR('',#8159,1000.); #1188=VECTOR('',#8160,1000.); #1189=VECTOR('',#8163,1000.); #1190=VECTOR('',#8164,1000.); #1191=VECTOR('',#8167,1000.); #1192=VECTOR('',#8168,1000.); #1193=VECTOR('',#8171,1000.); #1194=VECTOR('',#8172,1000.); #1195=VECTOR('',#8173,1000.); #1196=VECTOR('',#8174,1000.); #1197=VECTOR('',#8177,1000.); #1198=VECTOR('',#8178,1000.); #1199=VECTOR('',#8179,1000.); #1200=VECTOR('',#8182,1000.); #1201=VECTOR('',#8183,1000.); #1202=VECTOR('',#8186,1000.); #1203=VECTOR('',#8193,1000.); #1204=VECTOR('',#8196,1000.); #1205=VECTOR('',#8201,1000.); #1206=VECTOR('',#8204,1000.); #1207=VECTOR('',#8205,1000.); #1208=VECTOR('',#8208,1000.); #1209=VECTOR('',#8209,1000.); #1210=VECTOR('',#8212,1000.); #1211=VECTOR('',#8213,1000.); #1212=VECTOR('',#8214,1000.); #1213=VECTOR('',#8215,1000.); #1214=VECTOR('',#8218,1000.); #1215=VECTOR('',#8219,1000.); #1216=VECTOR('',#8220,1000.); #1217=VECTOR('',#8223,1000.); #1218=VECTOR('',#8224,1000.); #1219=VECTOR('',#8225,1000.); #1220=VECTOR('',#8228,1000.); #1221=VECTOR('',#8229,1000.); #1222=VECTOR('',#8230,1000.); #1223=VECTOR('',#8231,1000.); #1224=VECTOR('',#8234,1000.); #1225=VECTOR('',#8235,1000.); #1226=VECTOR('',#8236,1000.); #1227=VECTOR('',#8239,1000.); #1228=VECTOR('',#8240,1000.); #1229=VECTOR('',#8241,1000.); #1230=VECTOR('',#8256,1000.); #1231=VECTOR('',#8265,1000.); #1232=VECTOR('',#8266,1000.); #1233=VECTOR('',#8267,1000.); #1234=VECTOR('',#8270,1000.); #1235=VECTOR('',#8271,1000.); #1236=VECTOR('',#8274,1000.); #1237=VECTOR('',#8275,1000.); #1238=VECTOR('',#8278,1000.); #1239=VECTOR('',#8279,1000.); #1240=VECTOR('',#8282,1000.); #1241=VECTOR('',#8283,1000.); #1242=VECTOR('',#8286,1000.); #1243=VECTOR('',#8287,1000.); #1244=VECTOR('',#8290,1000.); #1245=VECTOR('',#8295,1000.); #1246=VECTOR('',#8296,1000.); #1247=VECTOR('',#8297,1000.); #1248=VECTOR('',#8302,1000.); #1249=VECTOR('',#8305,1000.); #1250=VECTOR('',#8306,1000.); #1251=VECTOR('',#8309,1000.); #1252=VECTOR('',#8310,1000.); #1253=VECTOR('',#8313,1000.); #1254=VECTOR('',#8314,1000.); #1255=VECTOR('',#8317,1000.); #1256=VECTOR('',#8318,1000.); #1257=VECTOR('',#8321,1000.); #1258=VECTOR('',#8322,1000.); #1259=VECTOR('',#8325,1000.); #1260=VECTOR('',#8326,1000.); #1261=VECTOR('',#8463,1000.); #1262=VECTOR('',#8464,1000.); #1263=VECTOR('',#8465,1000.); #1264=VECTOR('',#8468,1000.); #1265=VECTOR('',#8473,1000.); #1266=VECTOR('',#8474,1000.); #1267=VECTOR('',#8477,1000.); #1268=VECTOR('',#8482,1000.); #1269=VECTOR('',#8483,1000.); #1270=VECTOR('',#8486,1000.); #1271=VECTOR('',#8491,1000.); #1272=VECTOR('',#8492,1000.); #1273=VECTOR('',#8495,1000.); #1274=VECTOR('',#8500,1000.); #1275=VECTOR('',#8501,1000.); #1276=VECTOR('',#8504,1000.); #1277=VECTOR('',#8509,1000.); #1278=VECTOR('',#8510,1000.); #1279=VECTOR('',#8513,1000.); #1280=VECTOR('',#8518,1000.); #1281=VECTOR('',#8519,1000.); #1282=VECTOR('',#8522,1000.); #1283=VECTOR('',#8527,1000.); #1284=VECTOR('',#8528,1000.); #1285=ORIENTED_EDGE('',*,*,#3051,.F.); #1286=ORIENTED_EDGE('',*,*,#3052,.F.); #1287=ORIENTED_EDGE('',*,*,#3053,.T.); #1288=ORIENTED_EDGE('',*,*,#3054,.F.); #1289=ORIENTED_EDGE('',*,*,#3055,.T.); #1290=ORIENTED_EDGE('',*,*,#3056,.F.); #1291=ORIENTED_EDGE('',*,*,#3057,.F.); #1292=ORIENTED_EDGE('',*,*,#3058,.F.); #1293=ORIENTED_EDGE('',*,*,#3059,.F.); #1294=ORIENTED_EDGE('',*,*,#3060,.F.); #1295=ORIENTED_EDGE('',*,*,#3061,.T.); #1296=ORIENTED_EDGE('',*,*,#3062,.F.); #1297=ORIENTED_EDGE('',*,*,#3063,.T.); #1298=ORIENTED_EDGE('',*,*,#3064,.F.); #1299=ORIENTED_EDGE('',*,*,#3065,.F.); #1300=ORIENTED_EDGE('',*,*,#3066,.F.); #1301=ORIENTED_EDGE('',*,*,#3067,.T.); #1302=ORIENTED_EDGE('',*,*,#3068,.F.); #1303=ORIENTED_EDGE('',*,*,#3069,.F.); #1304=ORIENTED_EDGE('',*,*,#3070,.F.); #1305=ORIENTED_EDGE('',*,*,#3071,.F.); #1306=ORIENTED_EDGE('',*,*,#3072,.F.); #1307=ORIENTED_EDGE('',*,*,#3073,.F.); #1308=ORIENTED_EDGE('',*,*,#3074,.T.); #1309=ORIENTED_EDGE('',*,*,#3075,.T.); #1310=ORIENTED_EDGE('',*,*,#3076,.T.); #1311=ORIENTED_EDGE('',*,*,#3077,.F.); #1312=ORIENTED_EDGE('',*,*,#3078,.F.); #1313=ORIENTED_EDGE('',*,*,#3079,.F.); #1314=ORIENTED_EDGE('',*,*,#3080,.F.); #1315=ORIENTED_EDGE('',*,*,#3081,.F.); #1316=ORIENTED_EDGE('',*,*,#3082,.F.); #1317=ORIENTED_EDGE('',*,*,#3083,.F.); #1318=ORIENTED_EDGE('',*,*,#3084,.F.); #1319=ORIENTED_EDGE('',*,*,#3085,.F.); #1320=ORIENTED_EDGE('',*,*,#3086,.F.); #1321=ORIENTED_EDGE('',*,*,#3087,.F.); #1322=ORIENTED_EDGE('',*,*,#3088,.F.); #1323=ORIENTED_EDGE('',*,*,#3089,.F.); #1324=ORIENTED_EDGE('',*,*,#3090,.F.); #1325=ORIENTED_EDGE('',*,*,#3091,.F.); #1326=ORIENTED_EDGE('',*,*,#3092,.F.); #1327=ORIENTED_EDGE('',*,*,#3093,.F.); #1328=ORIENTED_EDGE('',*,*,#3094,.F.); #1329=ORIENTED_EDGE('',*,*,#3095,.F.); #1330=ORIENTED_EDGE('',*,*,#3096,.F.); #1331=ORIENTED_EDGE('',*,*,#3097,.F.); #1332=ORIENTED_EDGE('',*,*,#3098,.F.); #1333=ORIENTED_EDGE('',*,*,#3099,.F.); #1334=ORIENTED_EDGE('',*,*,#3100,.F.); #1335=ORIENTED_EDGE('',*,*,#3101,.T.); #1336=ORIENTED_EDGE('',*,*,#3102,.F.); #1337=ORIENTED_EDGE('',*,*,#3103,.T.); #1338=ORIENTED_EDGE('',*,*,#3104,.F.); #1339=ORIENTED_EDGE('',*,*,#3105,.F.); #1340=ORIENTED_EDGE('',*,*,#3106,.F.); #1341=ORIENTED_EDGE('',*,*,#3107,.T.); #1342=ORIENTED_EDGE('',*,*,#3108,.F.); #1343=ORIENTED_EDGE('',*,*,#3109,.F.); #1344=ORIENTED_EDGE('',*,*,#3110,.F.); #1345=ORIENTED_EDGE('',*,*,#3111,.T.); #1346=ORIENTED_EDGE('',*,*,#3112,.F.); #1347=ORIENTED_EDGE('',*,*,#3113,.F.); #1348=ORIENTED_EDGE('',*,*,#3114,.F.); #1349=ORIENTED_EDGE('',*,*,#3115,.T.); #1350=ORIENTED_EDGE('',*,*,#3116,.F.); #1351=ORIENTED_EDGE('',*,*,#3117,.F.); #1352=ORIENTED_EDGE('',*,*,#3118,.F.); #1353=ORIENTED_EDGE('',*,*,#3119,.T.); #1354=ORIENTED_EDGE('',*,*,#3120,.T.); #1355=ORIENTED_EDGE('',*,*,#3121,.T.); #1356=ORIENTED_EDGE('',*,*,#3122,.F.); #1357=ORIENTED_EDGE('',*,*,#3123,.F.); #1358=ORIENTED_EDGE('',*,*,#3124,.T.); #1359=ORIENTED_EDGE('',*,*,#3125,.T.); #1360=ORIENTED_EDGE('',*,*,#3126,.F.); #1361=ORIENTED_EDGE('',*,*,#3127,.F.); #1362=ORIENTED_EDGE('',*,*,#3128,.F.); #1363=ORIENTED_EDGE('',*,*,#3129,.T.); #1364=ORIENTED_EDGE('',*,*,#3130,.T.); #1365=ORIENTED_EDGE('',*,*,#3131,.T.); #1366=ORIENTED_EDGE('',*,*,#3132,.F.); #1367=ORIENTED_EDGE('',*,*,#3133,.F.); #1368=ORIENTED_EDGE('',*,*,#3134,.F.); #1369=ORIENTED_EDGE('',*,*,#3135,.T.); #1370=ORIENTED_EDGE('',*,*,#3136,.T.); #1371=ORIENTED_EDGE('',*,*,#3137,.T.); #1372=ORIENTED_EDGE('',*,*,#3138,.F.); #1373=ORIENTED_EDGE('',*,*,#3139,.F.); #1374=ORIENTED_EDGE('',*,*,#3140,.F.); #1375=ORIENTED_EDGE('',*,*,#3141,.T.); #1376=ORIENTED_EDGE('',*,*,#3142,.T.); #1377=ORIENTED_EDGE('',*,*,#3143,.T.); #1378=ORIENTED_EDGE('',*,*,#3144,.F.); #1379=ORIENTED_EDGE('',*,*,#3145,.F.); #1380=ORIENTED_EDGE('',*,*,#3146,.F.); #1381=ORIENTED_EDGE('',*,*,#3147,.T.); #1382=ORIENTED_EDGE('',*,*,#3148,.T.); #1383=ORIENTED_EDGE('',*,*,#3149,.T.); #1384=ORIENTED_EDGE('',*,*,#3150,.F.); #1385=ORIENTED_EDGE('',*,*,#3151,.F.); #1386=ORIENTED_EDGE('',*,*,#3152,.F.); #1387=ORIENTED_EDGE('',*,*,#3153,.T.); #1388=ORIENTED_EDGE('',*,*,#3154,.T.); #1389=ORIENTED_EDGE('',*,*,#3155,.T.); #1390=ORIENTED_EDGE('',*,*,#3156,.F.); #1391=ORIENTED_EDGE('',*,*,#3157,.F.); #1392=ORIENTED_EDGE('',*,*,#3158,.F.); #1393=ORIENTED_EDGE('',*,*,#3159,.T.); #1394=ORIENTED_EDGE('',*,*,#3160,.T.); #1395=ORIENTED_EDGE('',*,*,#3161,.T.); #1396=ORIENTED_EDGE('',*,*,#3162,.F.); #1397=ORIENTED_EDGE('',*,*,#3163,.F.); #1398=ORIENTED_EDGE('',*,*,#3164,.F.); #1399=ORIENTED_EDGE('',*,*,#3165,.T.); #1400=ORIENTED_EDGE('',*,*,#3166,.T.); #1401=ORIENTED_EDGE('',*,*,#3167,.T.); #1402=ORIENTED_EDGE('',*,*,#3168,.F.); #1403=ORIENTED_EDGE('',*,*,#3169,.F.); #1404=ORIENTED_EDGE('',*,*,#3170,.F.); #1405=ORIENTED_EDGE('',*,*,#3171,.T.); #1406=ORIENTED_EDGE('',*,*,#3172,.T.); #1407=ORIENTED_EDGE('',*,*,#3173,.T.); #1408=ORIENTED_EDGE('',*,*,#3174,.F.); #1409=ORIENTED_EDGE('',*,*,#3175,.F.); #1410=ORIENTED_EDGE('',*,*,#3176,.F.); #1411=ORIENTED_EDGE('',*,*,#3177,.T.); #1412=ORIENTED_EDGE('',*,*,#3178,.F.); #1413=ORIENTED_EDGE('',*,*,#3123,.T.); #1414=ORIENTED_EDGE('',*,*,#3179,.T.); #1415=ORIENTED_EDGE('',*,*,#3180,.F.); #1416=ORIENTED_EDGE('',*,*,#3127,.T.); #1417=ORIENTED_EDGE('',*,*,#3181,.T.); #1418=ORIENTED_EDGE('',*,*,#3182,.F.); #1419=ORIENTED_EDGE('',*,*,#3183,.F.); #1420=ORIENTED_EDGE('',*,*,#3133,.T.); #1421=ORIENTED_EDGE('',*,*,#3184,.T.); #1422=ORIENTED_EDGE('',*,*,#3185,.F.); #1423=ORIENTED_EDGE('',*,*,#3186,.F.); #1424=ORIENTED_EDGE('',*,*,#3139,.T.); #1425=ORIENTED_EDGE('',*,*,#3187,.T.); #1426=ORIENTED_EDGE('',*,*,#3188,.F.); #1427=ORIENTED_EDGE('',*,*,#3189,.F.); #1428=ORIENTED_EDGE('',*,*,#3145,.T.); #1429=ORIENTED_EDGE('',*,*,#3190,.T.); #1430=ORIENTED_EDGE('',*,*,#3191,.F.); #1431=ORIENTED_EDGE('',*,*,#3192,.F.); #1432=ORIENTED_EDGE('',*,*,#3151,.T.); #1433=ORIENTED_EDGE('',*,*,#3193,.T.); #1434=ORIENTED_EDGE('',*,*,#3194,.F.); #1435=ORIENTED_EDGE('',*,*,#3195,.F.); #1436=ORIENTED_EDGE('',*,*,#3157,.T.); #1437=ORIENTED_EDGE('',*,*,#3196,.T.); #1438=ORIENTED_EDGE('',*,*,#3197,.F.); #1439=ORIENTED_EDGE('',*,*,#3198,.F.); #1440=ORIENTED_EDGE('',*,*,#3163,.T.); #1441=ORIENTED_EDGE('',*,*,#3199,.T.); #1442=ORIENTED_EDGE('',*,*,#3200,.F.); #1443=ORIENTED_EDGE('',*,*,#3201,.F.); #1444=ORIENTED_EDGE('',*,*,#3169,.T.); #1445=ORIENTED_EDGE('',*,*,#3202,.T.); #1446=ORIENTED_EDGE('',*,*,#3203,.F.); #1447=ORIENTED_EDGE('',*,*,#3204,.F.); #1448=ORIENTED_EDGE('',*,*,#3175,.T.); #1449=ORIENTED_EDGE('',*,*,#3205,.T.); #1450=ORIENTED_EDGE('',*,*,#3206,.F.); #1451=ORIENTED_EDGE('',*,*,#3207,.F.); #1452=ORIENTED_EDGE('',*,*,#3208,.F.); #1453=ORIENTED_EDGE('',*,*,#3209,.F.); #1454=ORIENTED_EDGE('',*,*,#3180,.T.); #1455=ORIENTED_EDGE('',*,*,#3210,.F.); #1456=ORIENTED_EDGE('',*,*,#3211,.T.); #1457=ORIENTED_EDGE('',*,*,#3212,.F.); #1458=ORIENTED_EDGE('',*,*,#3213,.T.); #1459=ORIENTED_EDGE('',*,*,#3214,.F.); #1460=ORIENTED_EDGE('',*,*,#3206,.T.); #1461=ORIENTED_EDGE('',*,*,#3215,.F.); #1462=ORIENTED_EDGE('',*,*,#3216,.T.); #1463=ORIENTED_EDGE('',*,*,#3217,.F.); #1464=ORIENTED_EDGE('',*,*,#3218,.T.); #1465=ORIENTED_EDGE('',*,*,#3219,.F.); #1466=ORIENTED_EDGE('',*,*,#3203,.T.); #1467=ORIENTED_EDGE('',*,*,#3220,.F.); #1468=ORIENTED_EDGE('',*,*,#3221,.T.); #1469=ORIENTED_EDGE('',*,*,#3222,.F.); #1470=ORIENTED_EDGE('',*,*,#3223,.T.); #1471=ORIENTED_EDGE('',*,*,#3224,.F.); #1472=ORIENTED_EDGE('',*,*,#3200,.T.); #1473=ORIENTED_EDGE('',*,*,#3225,.F.); #1474=ORIENTED_EDGE('',*,*,#3226,.T.); #1475=ORIENTED_EDGE('',*,*,#3227,.F.); #1476=ORIENTED_EDGE('',*,*,#3228,.T.); #1477=ORIENTED_EDGE('',*,*,#3229,.F.); #1478=ORIENTED_EDGE('',*,*,#3197,.T.); #1479=ORIENTED_EDGE('',*,*,#3230,.F.); #1480=ORIENTED_EDGE('',*,*,#3231,.T.); #1481=ORIENTED_EDGE('',*,*,#3232,.F.); #1482=ORIENTED_EDGE('',*,*,#3233,.T.); #1483=ORIENTED_EDGE('',*,*,#3234,.F.); #1484=ORIENTED_EDGE('',*,*,#3194,.T.); #1485=ORIENTED_EDGE('',*,*,#3235,.F.); #1486=ORIENTED_EDGE('',*,*,#3236,.T.); #1487=ORIENTED_EDGE('',*,*,#3237,.F.); #1488=ORIENTED_EDGE('',*,*,#3238,.T.); #1489=ORIENTED_EDGE('',*,*,#3239,.F.); #1490=ORIENTED_EDGE('',*,*,#3191,.T.); #1491=ORIENTED_EDGE('',*,*,#3240,.F.); #1492=ORIENTED_EDGE('',*,*,#3241,.T.); #1493=ORIENTED_EDGE('',*,*,#3242,.F.); #1494=ORIENTED_EDGE('',*,*,#3243,.T.); #1495=ORIENTED_EDGE('',*,*,#3244,.F.); #1496=ORIENTED_EDGE('',*,*,#3188,.T.); #1497=ORIENTED_EDGE('',*,*,#3245,.F.); #1498=ORIENTED_EDGE('',*,*,#3246,.T.); #1499=ORIENTED_EDGE('',*,*,#3247,.F.); #1500=ORIENTED_EDGE('',*,*,#3248,.T.); #1501=ORIENTED_EDGE('',*,*,#3249,.F.); #1502=ORIENTED_EDGE('',*,*,#3185,.T.); #1503=ORIENTED_EDGE('',*,*,#3250,.F.); #1504=ORIENTED_EDGE('',*,*,#3251,.T.); #1505=ORIENTED_EDGE('',*,*,#3252,.F.); #1506=ORIENTED_EDGE('',*,*,#3253,.T.); #1507=ORIENTED_EDGE('',*,*,#3254,.F.); #1508=ORIENTED_EDGE('',*,*,#3182,.T.); #1509=ORIENTED_EDGE('',*,*,#3255,.F.); #1510=ORIENTED_EDGE('',*,*,#3256,.T.); #1511=ORIENTED_EDGE('',*,*,#3257,.F.); #1512=ORIENTED_EDGE('',*,*,#3258,.T.); #1513=ORIENTED_EDGE('',*,*,#3259,.F.); #1514=ORIENTED_EDGE('',*,*,#3260,.T.); #1515=ORIENTED_EDGE('',*,*,#3261,.T.); #1516=ORIENTED_EDGE('',*,*,#3262,.T.); #1517=ORIENTED_EDGE('',*,*,#3263,.T.); #1518=ORIENTED_EDGE('',*,*,#3264,.F.); #1519=ORIENTED_EDGE('',*,*,#3265,.T.); #1520=ORIENTED_EDGE('',*,*,#3266,.F.); #1521=ORIENTED_EDGE('',*,*,#3267,.T.); #1522=ORIENTED_EDGE('',*,*,#3268,.T.); #1523=ORIENTED_EDGE('',*,*,#3269,.T.); #1524=ORIENTED_EDGE('',*,*,#3270,.T.); #1525=ORIENTED_EDGE('',*,*,#3271,.T.); #1526=ORIENTED_EDGE('',*,*,#3272,.F.); #1527=ORIENTED_EDGE('',*,*,#3273,.T.); #1528=ORIENTED_EDGE('',*,*,#3274,.F.); #1529=ORIENTED_EDGE('',*,*,#3275,.T.); #1530=ORIENTED_EDGE('',*,*,#3276,.T.); #1531=ORIENTED_EDGE('',*,*,#3277,.T.); #1532=ORIENTED_EDGE('',*,*,#3278,.T.); #1533=ORIENTED_EDGE('',*,*,#3279,.T.); #1534=ORIENTED_EDGE('',*,*,#3280,.F.); #1535=ORIENTED_EDGE('',*,*,#3281,.T.); #1536=ORIENTED_EDGE('',*,*,#3282,.F.); #1537=ORIENTED_EDGE('',*,*,#3283,.T.); #1538=ORIENTED_EDGE('',*,*,#3284,.T.); #1539=ORIENTED_EDGE('',*,*,#3285,.T.); #1540=ORIENTED_EDGE('',*,*,#3286,.T.); #1541=ORIENTED_EDGE('',*,*,#3287,.T.); #1542=ORIENTED_EDGE('',*,*,#3288,.F.); #1543=ORIENTED_EDGE('',*,*,#3289,.T.); #1544=ORIENTED_EDGE('',*,*,#3290,.F.); #1545=ORIENTED_EDGE('',*,*,#3291,.T.); #1546=ORIENTED_EDGE('',*,*,#3292,.T.); #1547=ORIENTED_EDGE('',*,*,#3293,.T.); #1548=ORIENTED_EDGE('',*,*,#3294,.T.); #1549=ORIENTED_EDGE('',*,*,#3295,.T.); #1550=ORIENTED_EDGE('',*,*,#3296,.F.); #1551=ORIENTED_EDGE('',*,*,#3297,.T.); #1552=ORIENTED_EDGE('',*,*,#3298,.F.); #1553=ORIENTED_EDGE('',*,*,#3299,.T.); #1554=ORIENTED_EDGE('',*,*,#3300,.T.); #1555=ORIENTED_EDGE('',*,*,#3301,.T.); #1556=ORIENTED_EDGE('',*,*,#3302,.T.); #1557=ORIENTED_EDGE('',*,*,#3303,.T.); #1558=ORIENTED_EDGE('',*,*,#3304,.F.); #1559=ORIENTED_EDGE('',*,*,#3305,.T.); #1560=ORIENTED_EDGE('',*,*,#3306,.F.); #1561=ORIENTED_EDGE('',*,*,#3307,.T.); #1562=ORIENTED_EDGE('',*,*,#3308,.T.); #1563=ORIENTED_EDGE('',*,*,#3309,.T.); #1564=ORIENTED_EDGE('',*,*,#3310,.T.); #1565=ORIENTED_EDGE('',*,*,#3311,.T.); #1566=ORIENTED_EDGE('',*,*,#3312,.F.); #1567=ORIENTED_EDGE('',*,*,#3313,.T.); #1568=ORIENTED_EDGE('',*,*,#3314,.F.); #1569=ORIENTED_EDGE('',*,*,#3315,.T.); #1570=ORIENTED_EDGE('',*,*,#3316,.T.); #1571=ORIENTED_EDGE('',*,*,#3317,.T.); #1572=ORIENTED_EDGE('',*,*,#3318,.T.); #1573=ORIENTED_EDGE('',*,*,#3319,.T.); #1574=ORIENTED_EDGE('',*,*,#3320,.F.); #1575=ORIENTED_EDGE('',*,*,#3321,.T.); #1576=ORIENTED_EDGE('',*,*,#3322,.F.); #1577=ORIENTED_EDGE('',*,*,#3323,.T.); #1578=ORIENTED_EDGE('',*,*,#3324,.T.); #1579=ORIENTED_EDGE('',*,*,#3325,.T.); #1580=ORIENTED_EDGE('',*,*,#3326,.T.); #1581=ORIENTED_EDGE('',*,*,#3327,.T.); #1582=ORIENTED_EDGE('',*,*,#3328,.F.); #1583=ORIENTED_EDGE('',*,*,#3329,.T.); #1584=ORIENTED_EDGE('',*,*,#3330,.F.); #1585=ORIENTED_EDGE('',*,*,#3331,.T.); #1586=ORIENTED_EDGE('',*,*,#3332,.T.); #1587=ORIENTED_EDGE('',*,*,#3333,.T.); #1588=ORIENTED_EDGE('',*,*,#3334,.T.); #1589=ORIENTED_EDGE('',*,*,#3335,.T.); #1590=ORIENTED_EDGE('',*,*,#3336,.F.); #1591=ORIENTED_EDGE('',*,*,#3337,.T.); #1592=ORIENTED_EDGE('',*,*,#3338,.F.); #1593=ORIENTED_EDGE('',*,*,#3339,.T.); #1594=ORIENTED_EDGE('',*,*,#3340,.F.); #1595=ORIENTED_EDGE('',*,*,#3341,.T.); #1596=ORIENTED_EDGE('',*,*,#3342,.T.); #1597=ORIENTED_EDGE('',*,*,#3343,.T.); #1598=ORIENTED_EDGE('',*,*,#3344,.T.); #1599=ORIENTED_EDGE('',*,*,#3345,.T.); #1600=ORIENTED_EDGE('',*,*,#3346,.T.); #1601=ORIENTED_EDGE('',*,*,#3347,.T.); #1602=ORIENTED_EDGE('',*,*,#3348,.T.); #1603=ORIENTED_EDGE('',*,*,#3349,.T.); #1604=ORIENTED_EDGE('',*,*,#3350,.T.); #1605=ORIENTED_EDGE('',*,*,#3351,.T.); #1606=ORIENTED_EDGE('',*,*,#3352,.T.); #1607=ORIENTED_EDGE('',*,*,#3353,.T.); #1608=ORIENTED_EDGE('',*,*,#3354,.F.); #1609=ORIENTED_EDGE('',*,*,#3340,.T.); #1610=ORIENTED_EDGE('',*,*,#3325,.F.); #1611=ORIENTED_EDGE('',*,*,#3355,.F.); #1612=ORIENTED_EDGE('',*,*,#3356,.F.); #1613=ORIENTED_EDGE('',*,*,#3357,.T.); #1614=ORIENTED_EDGE('',*,*,#3317,.F.); #1615=ORIENTED_EDGE('',*,*,#3358,.F.); #1616=ORIENTED_EDGE('',*,*,#3359,.F.); #1617=ORIENTED_EDGE('',*,*,#3360,.T.); #1618=ORIENTED_EDGE('',*,*,#3309,.F.); #1619=ORIENTED_EDGE('',*,*,#3361,.F.); #1620=ORIENTED_EDGE('',*,*,#3362,.F.); #1621=ORIENTED_EDGE('',*,*,#3363,.T.); #1622=ORIENTED_EDGE('',*,*,#3301,.F.); #1623=ORIENTED_EDGE('',*,*,#3364,.F.); #1624=ORIENTED_EDGE('',*,*,#3365,.F.); #1625=ORIENTED_EDGE('',*,*,#3366,.T.); #1626=ORIENTED_EDGE('',*,*,#3293,.F.); #1627=ORIENTED_EDGE('',*,*,#3367,.F.); #1628=ORIENTED_EDGE('',*,*,#3368,.F.); #1629=ORIENTED_EDGE('',*,*,#3369,.T.); #1630=ORIENTED_EDGE('',*,*,#3285,.F.); #1631=ORIENTED_EDGE('',*,*,#3370,.F.); #1632=ORIENTED_EDGE('',*,*,#3371,.F.); #1633=ORIENTED_EDGE('',*,*,#3372,.T.); #1634=ORIENTED_EDGE('',*,*,#3277,.F.); #1635=ORIENTED_EDGE('',*,*,#3373,.F.); #1636=ORIENTED_EDGE('',*,*,#3374,.F.); #1637=ORIENTED_EDGE('',*,*,#3375,.T.); #1638=ORIENTED_EDGE('',*,*,#3269,.F.); #1639=ORIENTED_EDGE('',*,*,#3376,.F.); #1640=ORIENTED_EDGE('',*,*,#3377,.F.); #1641=ORIENTED_EDGE('',*,*,#3378,.T.); #1642=ORIENTED_EDGE('',*,*,#3261,.F.); #1643=ORIENTED_EDGE('',*,*,#3379,.F.); #1644=ORIENTED_EDGE('',*,*,#3380,.F.); #1645=ORIENTED_EDGE('',*,*,#3381,.T.); #1646=ORIENTED_EDGE('',*,*,#3333,.F.); #1647=ORIENTED_EDGE('',*,*,#3382,.F.); #1648=ORIENTED_EDGE('',*,*,#3383,.F.); #1649=ORIENTED_EDGE('',*,*,#3384,.T.); #1650=ORIENTED_EDGE('',*,*,#3354,.T.); #1651=ORIENTED_EDGE('',*,*,#3385,.F.); #1652=ORIENTED_EDGE('',*,*,#3259,.T.); #1653=ORIENTED_EDGE('',*,*,#3386,.F.); #1654=ORIENTED_EDGE('',*,*,#3385,.T.); #1655=ORIENTED_EDGE('',*,*,#3386,.T.); #1656=ORIENTED_EDGE('',*,*,#3387,.F.); #1657=ORIENTED_EDGE('',*,*,#3388,.F.); #1658=ORIENTED_EDGE('',*,*,#3136,.F.); #1659=ORIENTED_EDGE('',*,*,#3389,.F.); #1660=ORIENTED_EDGE('',*,*,#3390,.T.); #1661=ORIENTED_EDGE('',*,*,#3242,.T.); #1662=ORIENTED_EDGE('',*,*,#3391,.F.); #1663=ORIENTED_EDGE('',*,*,#3392,.F.); #1664=ORIENTED_EDGE('',*,*,#3142,.F.); #1665=ORIENTED_EDGE('',*,*,#3393,.F.); #1666=ORIENTED_EDGE('',*,*,#3394,.T.); #1667=ORIENTED_EDGE('',*,*,#3237,.T.); #1668=ORIENTED_EDGE('',*,*,#3395,.F.); #1669=ORIENTED_EDGE('',*,*,#3396,.F.); #1670=ORIENTED_EDGE('',*,*,#3148,.F.); #1671=ORIENTED_EDGE('',*,*,#3397,.F.); #1672=ORIENTED_EDGE('',*,*,#3398,.T.); #1673=ORIENTED_EDGE('',*,*,#3232,.T.); #1674=ORIENTED_EDGE('',*,*,#3399,.F.); #1675=ORIENTED_EDGE('',*,*,#3400,.F.); #1676=ORIENTED_EDGE('',*,*,#3154,.F.); #1677=ORIENTED_EDGE('',*,*,#3401,.F.); #1678=ORIENTED_EDGE('',*,*,#3402,.T.); #1679=ORIENTED_EDGE('',*,*,#3227,.T.); #1680=ORIENTED_EDGE('',*,*,#3403,.F.); #1681=ORIENTED_EDGE('',*,*,#3404,.F.); #1682=ORIENTED_EDGE('',*,*,#3160,.F.); #1683=ORIENTED_EDGE('',*,*,#3405,.F.); #1684=ORIENTED_EDGE('',*,*,#3406,.T.); #1685=ORIENTED_EDGE('',*,*,#3222,.T.); #1686=ORIENTED_EDGE('',*,*,#3407,.F.); #1687=ORIENTED_EDGE('',*,*,#3408,.F.); #1688=ORIENTED_EDGE('',*,*,#3166,.F.); #1689=ORIENTED_EDGE('',*,*,#3409,.F.); #1690=ORIENTED_EDGE('',*,*,#3410,.T.); #1691=ORIENTED_EDGE('',*,*,#3217,.T.); #1692=ORIENTED_EDGE('',*,*,#3411,.F.); #1693=ORIENTED_EDGE('',*,*,#3412,.F.); #1694=ORIENTED_EDGE('',*,*,#3172,.F.); #1695=ORIENTED_EDGE('',*,*,#3413,.F.); #1696=ORIENTED_EDGE('',*,*,#3414,.T.); #1697=ORIENTED_EDGE('',*,*,#3212,.T.); #1698=ORIENTED_EDGE('',*,*,#3415,.F.); #1699=ORIENTED_EDGE('',*,*,#3416,.F.); #1700=ORIENTED_EDGE('',*,*,#3120,.F.); #1701=ORIENTED_EDGE('',*,*,#3417,.F.); #1702=ORIENTED_EDGE('',*,*,#3418,.T.); #1703=ORIENTED_EDGE('',*,*,#3257,.T.); #1704=ORIENTED_EDGE('',*,*,#3419,.F.); #1705=ORIENTED_EDGE('',*,*,#3420,.F.); #1706=ORIENTED_EDGE('',*,*,#3124,.F.); #1707=ORIENTED_EDGE('',*,*,#3421,.F.); #1708=ORIENTED_EDGE('',*,*,#3422,.T.); #1709=ORIENTED_EDGE('',*,*,#3252,.T.); #1710=ORIENTED_EDGE('',*,*,#3423,.F.); #1711=ORIENTED_EDGE('',*,*,#3424,.F.); #1712=ORIENTED_EDGE('',*,*,#3130,.F.); #1713=ORIENTED_EDGE('',*,*,#3425,.F.); #1714=ORIENTED_EDGE('',*,*,#3426,.T.); #1715=ORIENTED_EDGE('',*,*,#3247,.T.); #1716=ORIENTED_EDGE('',*,*,#3427,.F.); #1717=ORIENTED_EDGE('',*,*,#3208,.T.); #1718=ORIENTED_EDGE('',*,*,#3262,.F.); #1719=ORIENTED_EDGE('',*,*,#3378,.F.); #1720=ORIENTED_EDGE('',*,*,#3428,.T.); #1721=ORIENTED_EDGE('',*,*,#3429,.T.); #1722=ORIENTED_EDGE('',*,*,#3263,.F.); #1723=ORIENTED_EDGE('',*,*,#3429,.F.); #1724=ORIENTED_EDGE('',*,*,#3430,.T.); #1725=ORIENTED_EDGE('',*,*,#3431,.T.); #1726=ORIENTED_EDGE('',*,*,#3264,.T.); #1727=ORIENTED_EDGE('',*,*,#3431,.F.); #1728=ORIENTED_EDGE('',*,*,#3432,.F.); #1729=ORIENTED_EDGE('',*,*,#3433,.T.); #1730=ORIENTED_EDGE('',*,*,#3265,.F.); #1731=ORIENTED_EDGE('',*,*,#3433,.F.); #1732=ORIENTED_EDGE('',*,*,#3434,.T.); #1733=ORIENTED_EDGE('',*,*,#3435,.T.); #1734=ORIENTED_EDGE('',*,*,#3266,.T.); #1735=ORIENTED_EDGE('',*,*,#3435,.F.); #1736=ORIENTED_EDGE('',*,*,#3436,.F.); #1737=ORIENTED_EDGE('',*,*,#3437,.T.); #1738=ORIENTED_EDGE('',*,*,#3268,.F.); #1739=ORIENTED_EDGE('',*,*,#3438,.F.); #1740=ORIENTED_EDGE('',*,*,#3439,.T.); #1741=ORIENTED_EDGE('',*,*,#3376,.T.); #1742=ORIENTED_EDGE('',*,*,#3267,.F.); #1743=ORIENTED_EDGE('',*,*,#3437,.F.); #1744=ORIENTED_EDGE('',*,*,#3440,.T.); #1745=ORIENTED_EDGE('',*,*,#3438,.T.); #1746=ORIENTED_EDGE('',*,*,#3377,.T.); #1747=ORIENTED_EDGE('',*,*,#3439,.F.); #1748=ORIENTED_EDGE('',*,*,#3440,.F.); #1749=ORIENTED_EDGE('',*,*,#3436,.T.); #1750=ORIENTED_EDGE('',*,*,#3434,.F.); #1751=ORIENTED_EDGE('',*,*,#3432,.T.); #1752=ORIENTED_EDGE('',*,*,#3430,.F.); #1753=ORIENTED_EDGE('',*,*,#3428,.F.); #1754=ORIENTED_EDGE('',*,*,#3270,.F.); #1755=ORIENTED_EDGE('',*,*,#3375,.F.); #1756=ORIENTED_EDGE('',*,*,#3441,.T.); #1757=ORIENTED_EDGE('',*,*,#3442,.T.); #1758=ORIENTED_EDGE('',*,*,#3271,.F.); #1759=ORIENTED_EDGE('',*,*,#3442,.F.); #1760=ORIENTED_EDGE('',*,*,#3443,.T.); #1761=ORIENTED_EDGE('',*,*,#3444,.T.); #1762=ORIENTED_EDGE('',*,*,#3272,.T.); #1763=ORIENTED_EDGE('',*,*,#3444,.F.); #1764=ORIENTED_EDGE('',*,*,#3445,.F.); #1765=ORIENTED_EDGE('',*,*,#3446,.T.); #1766=ORIENTED_EDGE('',*,*,#3273,.F.); #1767=ORIENTED_EDGE('',*,*,#3446,.F.); #1768=ORIENTED_EDGE('',*,*,#3447,.T.); #1769=ORIENTED_EDGE('',*,*,#3448,.T.); #1770=ORIENTED_EDGE('',*,*,#3274,.T.); #1771=ORIENTED_EDGE('',*,*,#3448,.F.); #1772=ORIENTED_EDGE('',*,*,#3449,.F.); #1773=ORIENTED_EDGE('',*,*,#3450,.T.); #1774=ORIENTED_EDGE('',*,*,#3275,.F.); #1775=ORIENTED_EDGE('',*,*,#3450,.F.); #1776=ORIENTED_EDGE('',*,*,#3451,.T.); #1777=ORIENTED_EDGE('',*,*,#3452,.T.); #1778=ORIENTED_EDGE('',*,*,#3276,.F.); #1779=ORIENTED_EDGE('',*,*,#3452,.F.); #1780=ORIENTED_EDGE('',*,*,#3453,.T.); #1781=ORIENTED_EDGE('',*,*,#3373,.T.); #1782=ORIENTED_EDGE('',*,*,#3374,.T.); #1783=ORIENTED_EDGE('',*,*,#3453,.F.); #1784=ORIENTED_EDGE('',*,*,#3451,.F.); #1785=ORIENTED_EDGE('',*,*,#3449,.T.); #1786=ORIENTED_EDGE('',*,*,#3447,.F.); #1787=ORIENTED_EDGE('',*,*,#3445,.T.); #1788=ORIENTED_EDGE('',*,*,#3443,.F.); #1789=ORIENTED_EDGE('',*,*,#3441,.F.); #1790=ORIENTED_EDGE('',*,*,#3278,.F.); #1791=ORIENTED_EDGE('',*,*,#3372,.F.); #1792=ORIENTED_EDGE('',*,*,#3454,.T.); #1793=ORIENTED_EDGE('',*,*,#3455,.T.); #1794=ORIENTED_EDGE('',*,*,#3279,.F.); #1795=ORIENTED_EDGE('',*,*,#3455,.F.); #1796=ORIENTED_EDGE('',*,*,#3456,.T.); #1797=ORIENTED_EDGE('',*,*,#3457,.T.); #1798=ORIENTED_EDGE('',*,*,#3280,.T.); #1799=ORIENTED_EDGE('',*,*,#3457,.F.); #1800=ORIENTED_EDGE('',*,*,#3458,.F.); #1801=ORIENTED_EDGE('',*,*,#3459,.T.); #1802=ORIENTED_EDGE('',*,*,#3281,.F.); #1803=ORIENTED_EDGE('',*,*,#3459,.F.); #1804=ORIENTED_EDGE('',*,*,#3460,.T.); #1805=ORIENTED_EDGE('',*,*,#3461,.T.); #1806=ORIENTED_EDGE('',*,*,#3282,.T.); #1807=ORIENTED_EDGE('',*,*,#3461,.F.); #1808=ORIENTED_EDGE('',*,*,#3462,.F.); #1809=ORIENTED_EDGE('',*,*,#3463,.T.); #1810=ORIENTED_EDGE('',*,*,#3283,.F.); #1811=ORIENTED_EDGE('',*,*,#3463,.F.); #1812=ORIENTED_EDGE('',*,*,#3464,.T.); #1813=ORIENTED_EDGE('',*,*,#3465,.T.); #1814=ORIENTED_EDGE('',*,*,#3284,.F.); #1815=ORIENTED_EDGE('',*,*,#3465,.F.); #1816=ORIENTED_EDGE('',*,*,#3466,.T.); #1817=ORIENTED_EDGE('',*,*,#3370,.T.); #1818=ORIENTED_EDGE('',*,*,#3371,.T.); #1819=ORIENTED_EDGE('',*,*,#3466,.F.); #1820=ORIENTED_EDGE('',*,*,#3464,.F.); #1821=ORIENTED_EDGE('',*,*,#3462,.T.); #1822=ORIENTED_EDGE('',*,*,#3460,.F.); #1823=ORIENTED_EDGE('',*,*,#3458,.T.); #1824=ORIENTED_EDGE('',*,*,#3456,.F.); #1825=ORIENTED_EDGE('',*,*,#3454,.F.); #1826=ORIENTED_EDGE('',*,*,#3286,.F.); #1827=ORIENTED_EDGE('',*,*,#3369,.F.); #1828=ORIENTED_EDGE('',*,*,#3467,.T.); #1829=ORIENTED_EDGE('',*,*,#3468,.T.); #1830=ORIENTED_EDGE('',*,*,#3287,.F.); #1831=ORIENTED_EDGE('',*,*,#3468,.F.); #1832=ORIENTED_EDGE('',*,*,#3469,.T.); #1833=ORIENTED_EDGE('',*,*,#3470,.T.); #1834=ORIENTED_EDGE('',*,*,#3288,.T.); #1835=ORIENTED_EDGE('',*,*,#3470,.F.); #1836=ORIENTED_EDGE('',*,*,#3471,.F.); #1837=ORIENTED_EDGE('',*,*,#3472,.T.); #1838=ORIENTED_EDGE('',*,*,#3289,.F.); #1839=ORIENTED_EDGE('',*,*,#3472,.F.); #1840=ORIENTED_EDGE('',*,*,#3473,.T.); #1841=ORIENTED_EDGE('',*,*,#3474,.T.); #1842=ORIENTED_EDGE('',*,*,#3290,.T.); #1843=ORIENTED_EDGE('',*,*,#3474,.F.); #1844=ORIENTED_EDGE('',*,*,#3475,.F.); #1845=ORIENTED_EDGE('',*,*,#3476,.T.); #1846=ORIENTED_EDGE('',*,*,#3291,.F.); #1847=ORIENTED_EDGE('',*,*,#3476,.F.); #1848=ORIENTED_EDGE('',*,*,#3477,.T.); #1849=ORIENTED_EDGE('',*,*,#3478,.T.); #1850=ORIENTED_EDGE('',*,*,#3292,.F.); #1851=ORIENTED_EDGE('',*,*,#3478,.F.); #1852=ORIENTED_EDGE('',*,*,#3479,.T.); #1853=ORIENTED_EDGE('',*,*,#3367,.T.); #1854=ORIENTED_EDGE('',*,*,#3368,.T.); #1855=ORIENTED_EDGE('',*,*,#3479,.F.); #1856=ORIENTED_EDGE('',*,*,#3477,.F.); #1857=ORIENTED_EDGE('',*,*,#3475,.T.); #1858=ORIENTED_EDGE('',*,*,#3473,.F.); #1859=ORIENTED_EDGE('',*,*,#3471,.T.); #1860=ORIENTED_EDGE('',*,*,#3469,.F.); #1861=ORIENTED_EDGE('',*,*,#3467,.F.); #1862=ORIENTED_EDGE('',*,*,#3294,.F.); #1863=ORIENTED_EDGE('',*,*,#3366,.F.); #1864=ORIENTED_EDGE('',*,*,#3480,.T.); #1865=ORIENTED_EDGE('',*,*,#3481,.T.); #1866=ORIENTED_EDGE('',*,*,#3295,.F.); #1867=ORIENTED_EDGE('',*,*,#3481,.F.); #1868=ORIENTED_EDGE('',*,*,#3482,.T.); #1869=ORIENTED_EDGE('',*,*,#3483,.T.); #1870=ORIENTED_EDGE('',*,*,#3296,.T.); #1871=ORIENTED_EDGE('',*,*,#3483,.F.); #1872=ORIENTED_EDGE('',*,*,#3484,.F.); #1873=ORIENTED_EDGE('',*,*,#3485,.T.); #1874=ORIENTED_EDGE('',*,*,#3297,.F.); #1875=ORIENTED_EDGE('',*,*,#3485,.F.); #1876=ORIENTED_EDGE('',*,*,#3486,.T.); #1877=ORIENTED_EDGE('',*,*,#3487,.T.); #1878=ORIENTED_EDGE('',*,*,#3298,.T.); #1879=ORIENTED_EDGE('',*,*,#3487,.F.); #1880=ORIENTED_EDGE('',*,*,#3488,.F.); #1881=ORIENTED_EDGE('',*,*,#3489,.T.); #1882=ORIENTED_EDGE('',*,*,#3299,.F.); #1883=ORIENTED_EDGE('',*,*,#3489,.F.); #1884=ORIENTED_EDGE('',*,*,#3490,.T.); #1885=ORIENTED_EDGE('',*,*,#3491,.T.); #1886=ORIENTED_EDGE('',*,*,#3300,.F.); #1887=ORIENTED_EDGE('',*,*,#3491,.F.); #1888=ORIENTED_EDGE('',*,*,#3492,.T.); #1889=ORIENTED_EDGE('',*,*,#3364,.T.); #1890=ORIENTED_EDGE('',*,*,#3365,.T.); #1891=ORIENTED_EDGE('',*,*,#3492,.F.); #1892=ORIENTED_EDGE('',*,*,#3490,.F.); #1893=ORIENTED_EDGE('',*,*,#3488,.T.); #1894=ORIENTED_EDGE('',*,*,#3486,.F.); #1895=ORIENTED_EDGE('',*,*,#3484,.T.); #1896=ORIENTED_EDGE('',*,*,#3482,.F.); #1897=ORIENTED_EDGE('',*,*,#3480,.F.); #1898=ORIENTED_EDGE('',*,*,#3302,.F.); #1899=ORIENTED_EDGE('',*,*,#3363,.F.); #1900=ORIENTED_EDGE('',*,*,#3493,.T.); #1901=ORIENTED_EDGE('',*,*,#3494,.T.); #1902=ORIENTED_EDGE('',*,*,#3303,.F.); #1903=ORIENTED_EDGE('',*,*,#3494,.F.); #1904=ORIENTED_EDGE('',*,*,#3495,.T.); #1905=ORIENTED_EDGE('',*,*,#3496,.T.); #1906=ORIENTED_EDGE('',*,*,#3304,.T.); #1907=ORIENTED_EDGE('',*,*,#3496,.F.); #1908=ORIENTED_EDGE('',*,*,#3497,.F.); #1909=ORIENTED_EDGE('',*,*,#3498,.T.); #1910=ORIENTED_EDGE('',*,*,#3305,.F.); #1911=ORIENTED_EDGE('',*,*,#3498,.F.); #1912=ORIENTED_EDGE('',*,*,#3499,.T.); #1913=ORIENTED_EDGE('',*,*,#3500,.T.); #1914=ORIENTED_EDGE('',*,*,#3306,.T.); #1915=ORIENTED_EDGE('',*,*,#3500,.F.); #1916=ORIENTED_EDGE('',*,*,#3501,.F.); #1917=ORIENTED_EDGE('',*,*,#3502,.T.); #1918=ORIENTED_EDGE('',*,*,#3307,.F.); #1919=ORIENTED_EDGE('',*,*,#3502,.F.); #1920=ORIENTED_EDGE('',*,*,#3503,.T.); #1921=ORIENTED_EDGE('',*,*,#3504,.T.); #1922=ORIENTED_EDGE('',*,*,#3308,.F.); #1923=ORIENTED_EDGE('',*,*,#3504,.F.); #1924=ORIENTED_EDGE('',*,*,#3505,.T.); #1925=ORIENTED_EDGE('',*,*,#3361,.T.); #1926=ORIENTED_EDGE('',*,*,#3362,.T.); #1927=ORIENTED_EDGE('',*,*,#3505,.F.); #1928=ORIENTED_EDGE('',*,*,#3503,.F.); #1929=ORIENTED_EDGE('',*,*,#3501,.T.); #1930=ORIENTED_EDGE('',*,*,#3499,.F.); #1931=ORIENTED_EDGE('',*,*,#3497,.T.); #1932=ORIENTED_EDGE('',*,*,#3495,.F.); #1933=ORIENTED_EDGE('',*,*,#3493,.F.); #1934=ORIENTED_EDGE('',*,*,#3310,.F.); #1935=ORIENTED_EDGE('',*,*,#3360,.F.); #1936=ORIENTED_EDGE('',*,*,#3506,.T.); #1937=ORIENTED_EDGE('',*,*,#3507,.T.); #1938=ORIENTED_EDGE('',*,*,#3311,.F.); #1939=ORIENTED_EDGE('',*,*,#3507,.F.); #1940=ORIENTED_EDGE('',*,*,#3508,.T.); #1941=ORIENTED_EDGE('',*,*,#3509,.T.); #1942=ORIENTED_EDGE('',*,*,#3312,.T.); #1943=ORIENTED_EDGE('',*,*,#3509,.F.); #1944=ORIENTED_EDGE('',*,*,#3510,.F.); #1945=ORIENTED_EDGE('',*,*,#3511,.T.); #1946=ORIENTED_EDGE('',*,*,#3313,.F.); #1947=ORIENTED_EDGE('',*,*,#3511,.F.); #1948=ORIENTED_EDGE('',*,*,#3512,.T.); #1949=ORIENTED_EDGE('',*,*,#3513,.T.); #1950=ORIENTED_EDGE('',*,*,#3314,.T.); #1951=ORIENTED_EDGE('',*,*,#3513,.F.); #1952=ORIENTED_EDGE('',*,*,#3514,.F.); #1953=ORIENTED_EDGE('',*,*,#3515,.T.); #1954=ORIENTED_EDGE('',*,*,#3315,.F.); #1955=ORIENTED_EDGE('',*,*,#3515,.F.); #1956=ORIENTED_EDGE('',*,*,#3516,.T.); #1957=ORIENTED_EDGE('',*,*,#3517,.T.); #1958=ORIENTED_EDGE('',*,*,#3316,.F.); #1959=ORIENTED_EDGE('',*,*,#3517,.F.); #1960=ORIENTED_EDGE('',*,*,#3518,.T.); #1961=ORIENTED_EDGE('',*,*,#3358,.T.); #1962=ORIENTED_EDGE('',*,*,#3359,.T.); #1963=ORIENTED_EDGE('',*,*,#3518,.F.); #1964=ORIENTED_EDGE('',*,*,#3516,.F.); #1965=ORIENTED_EDGE('',*,*,#3514,.T.); #1966=ORIENTED_EDGE('',*,*,#3512,.F.); #1967=ORIENTED_EDGE('',*,*,#3510,.T.); #1968=ORIENTED_EDGE('',*,*,#3508,.F.); #1969=ORIENTED_EDGE('',*,*,#3506,.F.); #1970=ORIENTED_EDGE('',*,*,#3318,.F.); #1971=ORIENTED_EDGE('',*,*,#3357,.F.); #1972=ORIENTED_EDGE('',*,*,#3519,.T.); #1973=ORIENTED_EDGE('',*,*,#3520,.T.); #1974=ORIENTED_EDGE('',*,*,#3319,.F.); #1975=ORIENTED_EDGE('',*,*,#3520,.F.); #1976=ORIENTED_EDGE('',*,*,#3521,.T.); #1977=ORIENTED_EDGE('',*,*,#3522,.T.); #1978=ORIENTED_EDGE('',*,*,#3320,.T.); #1979=ORIENTED_EDGE('',*,*,#3522,.F.); #1980=ORIENTED_EDGE('',*,*,#3523,.F.); #1981=ORIENTED_EDGE('',*,*,#3524,.T.); #1982=ORIENTED_EDGE('',*,*,#3321,.F.); #1983=ORIENTED_EDGE('',*,*,#3524,.F.); #1984=ORIENTED_EDGE('',*,*,#3525,.T.); #1985=ORIENTED_EDGE('',*,*,#3526,.T.); #1986=ORIENTED_EDGE('',*,*,#3322,.T.); #1987=ORIENTED_EDGE('',*,*,#3526,.F.); #1988=ORIENTED_EDGE('',*,*,#3527,.F.); #1989=ORIENTED_EDGE('',*,*,#3528,.T.); #1990=ORIENTED_EDGE('',*,*,#3323,.F.); #1991=ORIENTED_EDGE('',*,*,#3528,.F.); #1992=ORIENTED_EDGE('',*,*,#3529,.T.); #1993=ORIENTED_EDGE('',*,*,#3530,.T.); #1994=ORIENTED_EDGE('',*,*,#3324,.F.); #1995=ORIENTED_EDGE('',*,*,#3530,.F.); #1996=ORIENTED_EDGE('',*,*,#3531,.T.); #1997=ORIENTED_EDGE('',*,*,#3355,.T.); #1998=ORIENTED_EDGE('',*,*,#3356,.T.); #1999=ORIENTED_EDGE('',*,*,#3531,.F.); #2000=ORIENTED_EDGE('',*,*,#3529,.F.); #2001=ORIENTED_EDGE('',*,*,#3527,.T.); #2002=ORIENTED_EDGE('',*,*,#3525,.F.); #2003=ORIENTED_EDGE('',*,*,#3523,.T.); #2004=ORIENTED_EDGE('',*,*,#3521,.F.); #2005=ORIENTED_EDGE('',*,*,#3519,.F.); #2006=ORIENTED_EDGE('',*,*,#3326,.F.); #2007=ORIENTED_EDGE('',*,*,#3384,.F.); #2008=ORIENTED_EDGE('',*,*,#3532,.T.); #2009=ORIENTED_EDGE('',*,*,#3533,.T.); #2010=ORIENTED_EDGE('',*,*,#3327,.F.); #2011=ORIENTED_EDGE('',*,*,#3533,.F.); #2012=ORIENTED_EDGE('',*,*,#3534,.T.); #2013=ORIENTED_EDGE('',*,*,#3535,.T.); #2014=ORIENTED_EDGE('',*,*,#3328,.T.); #2015=ORIENTED_EDGE('',*,*,#3535,.F.); #2016=ORIENTED_EDGE('',*,*,#3536,.F.); #2017=ORIENTED_EDGE('',*,*,#3537,.T.); #2018=ORIENTED_EDGE('',*,*,#3329,.F.); #2019=ORIENTED_EDGE('',*,*,#3537,.F.); #2020=ORIENTED_EDGE('',*,*,#3538,.T.); #2021=ORIENTED_EDGE('',*,*,#3539,.T.); #2022=ORIENTED_EDGE('',*,*,#3330,.T.); #2023=ORIENTED_EDGE('',*,*,#3539,.F.); #2024=ORIENTED_EDGE('',*,*,#3540,.F.); #2025=ORIENTED_EDGE('',*,*,#3541,.T.); #2026=ORIENTED_EDGE('',*,*,#3331,.F.); #2027=ORIENTED_EDGE('',*,*,#3541,.F.); #2028=ORIENTED_EDGE('',*,*,#3542,.T.); #2029=ORIENTED_EDGE('',*,*,#3543,.T.); #2030=ORIENTED_EDGE('',*,*,#3332,.F.); #2031=ORIENTED_EDGE('',*,*,#3543,.F.); #2032=ORIENTED_EDGE('',*,*,#3544,.T.); #2033=ORIENTED_EDGE('',*,*,#3382,.T.); #2034=ORIENTED_EDGE('',*,*,#3383,.T.); #2035=ORIENTED_EDGE('',*,*,#3544,.F.); #2036=ORIENTED_EDGE('',*,*,#3542,.F.); #2037=ORIENTED_EDGE('',*,*,#3540,.T.); #2038=ORIENTED_EDGE('',*,*,#3538,.F.); #2039=ORIENTED_EDGE('',*,*,#3536,.T.); #2040=ORIENTED_EDGE('',*,*,#3534,.F.); #2041=ORIENTED_EDGE('',*,*,#3532,.F.); #2042=ORIENTED_EDGE('',*,*,#3380,.T.); #2043=ORIENTED_EDGE('',*,*,#3545,.F.); #2044=ORIENTED_EDGE('',*,*,#3546,.F.); #2045=ORIENTED_EDGE('',*,*,#3547,.T.); #2046=ORIENTED_EDGE('',*,*,#3548,.F.); #2047=ORIENTED_EDGE('',*,*,#3549,.T.); #2048=ORIENTED_EDGE('',*,*,#3550,.F.); #2049=ORIENTED_EDGE('',*,*,#3551,.F.); #2050=ORIENTED_EDGE('',*,*,#3260,.F.); #2051=ORIENTED_EDGE('',*,*,#3552,.F.); #2052=ORIENTED_EDGE('',*,*,#3545,.T.); #2053=ORIENTED_EDGE('',*,*,#3379,.T.); #2054=ORIENTED_EDGE('',*,*,#3339,.F.); #2055=ORIENTED_EDGE('',*,*,#3553,.F.); #2056=ORIENTED_EDGE('',*,*,#3546,.T.); #2057=ORIENTED_EDGE('',*,*,#3552,.T.); #2058=ORIENTED_EDGE('',*,*,#3338,.T.); #2059=ORIENTED_EDGE('',*,*,#3554,.F.); #2060=ORIENTED_EDGE('',*,*,#3547,.F.); #2061=ORIENTED_EDGE('',*,*,#3553,.T.); #2062=ORIENTED_EDGE('',*,*,#3337,.F.); #2063=ORIENTED_EDGE('',*,*,#3555,.F.); #2064=ORIENTED_EDGE('',*,*,#3548,.T.); #2065=ORIENTED_EDGE('',*,*,#3554,.T.); #2066=ORIENTED_EDGE('',*,*,#3336,.T.); #2067=ORIENTED_EDGE('',*,*,#3556,.F.); #2068=ORIENTED_EDGE('',*,*,#3549,.F.); #2069=ORIENTED_EDGE('',*,*,#3555,.T.); #2070=ORIENTED_EDGE('',*,*,#3335,.F.); #2071=ORIENTED_EDGE('',*,*,#3557,.F.); #2072=ORIENTED_EDGE('',*,*,#3550,.T.); #2073=ORIENTED_EDGE('',*,*,#3556,.T.); #2074=ORIENTED_EDGE('',*,*,#3334,.F.); #2075=ORIENTED_EDGE('',*,*,#3381,.F.); #2076=ORIENTED_EDGE('',*,*,#3551,.T.); #2077=ORIENTED_EDGE('',*,*,#3557,.T.); #2078=ORIENTED_EDGE('',*,*,#3122,.T.); #2079=ORIENTED_EDGE('',*,*,#3558,.T.); #2080=ORIENTED_EDGE('',*,*,#3210,.T.); #2081=ORIENTED_EDGE('',*,*,#3179,.F.); #2082=ORIENTED_EDGE('',*,*,#3416,.T.); #2083=ORIENTED_EDGE('',*,*,#3415,.T.); #2084=ORIENTED_EDGE('',*,*,#3211,.F.); #2085=ORIENTED_EDGE('',*,*,#3558,.F.); #2086=ORIENTED_EDGE('',*,*,#3121,.F.); #2087=ORIENTED_EDGE('',*,*,#3559,.T.); #2088=ORIENTED_EDGE('',*,*,#3213,.F.); #2089=ORIENTED_EDGE('',*,*,#3414,.F.); #2090=ORIENTED_EDGE('',*,*,#3413,.T.); #2091=ORIENTED_EDGE('',*,*,#3177,.F.); #2092=ORIENTED_EDGE('',*,*,#3176,.T.); #2093=ORIENTED_EDGE('',*,*,#3207,.T.); #2094=ORIENTED_EDGE('',*,*,#3214,.T.); #2095=ORIENTED_EDGE('',*,*,#3559,.F.); #2096=ORIENTED_EDGE('',*,*,#3174,.T.); #2097=ORIENTED_EDGE('',*,*,#3560,.T.); #2098=ORIENTED_EDGE('',*,*,#3215,.T.); #2099=ORIENTED_EDGE('',*,*,#3205,.F.); #2100=ORIENTED_EDGE('',*,*,#3412,.T.); #2101=ORIENTED_EDGE('',*,*,#3411,.T.); #2102=ORIENTED_EDGE('',*,*,#3216,.F.); #2103=ORIENTED_EDGE('',*,*,#3560,.F.); #2104=ORIENTED_EDGE('',*,*,#3173,.F.); #2105=ORIENTED_EDGE('',*,*,#3561,.T.); #2106=ORIENTED_EDGE('',*,*,#3218,.F.); #2107=ORIENTED_EDGE('',*,*,#3410,.F.); #2108=ORIENTED_EDGE('',*,*,#3409,.T.); #2109=ORIENTED_EDGE('',*,*,#3171,.F.); #2110=ORIENTED_EDGE('',*,*,#3170,.T.); #2111=ORIENTED_EDGE('',*,*,#3204,.T.); #2112=ORIENTED_EDGE('',*,*,#3219,.T.); #2113=ORIENTED_EDGE('',*,*,#3561,.F.); #2114=ORIENTED_EDGE('',*,*,#3168,.T.); #2115=ORIENTED_EDGE('',*,*,#3562,.T.); #2116=ORIENTED_EDGE('',*,*,#3220,.T.); #2117=ORIENTED_EDGE('',*,*,#3202,.F.); #2118=ORIENTED_EDGE('',*,*,#3408,.T.); #2119=ORIENTED_EDGE('',*,*,#3407,.T.); #2120=ORIENTED_EDGE('',*,*,#3221,.F.); #2121=ORIENTED_EDGE('',*,*,#3562,.F.); #2122=ORIENTED_EDGE('',*,*,#3167,.F.); #2123=ORIENTED_EDGE('',*,*,#3563,.T.); #2124=ORIENTED_EDGE('',*,*,#3223,.F.); #2125=ORIENTED_EDGE('',*,*,#3406,.F.); #2126=ORIENTED_EDGE('',*,*,#3405,.T.); #2127=ORIENTED_EDGE('',*,*,#3165,.F.); #2128=ORIENTED_EDGE('',*,*,#3164,.T.); #2129=ORIENTED_EDGE('',*,*,#3201,.T.); #2130=ORIENTED_EDGE('',*,*,#3224,.T.); #2131=ORIENTED_EDGE('',*,*,#3563,.F.); #2132=ORIENTED_EDGE('',*,*,#3162,.T.); #2133=ORIENTED_EDGE('',*,*,#3564,.T.); #2134=ORIENTED_EDGE('',*,*,#3225,.T.); #2135=ORIENTED_EDGE('',*,*,#3199,.F.); #2136=ORIENTED_EDGE('',*,*,#3404,.T.); #2137=ORIENTED_EDGE('',*,*,#3403,.T.); #2138=ORIENTED_EDGE('',*,*,#3226,.F.); #2139=ORIENTED_EDGE('',*,*,#3564,.F.); #2140=ORIENTED_EDGE('',*,*,#3161,.F.); #2141=ORIENTED_EDGE('',*,*,#3565,.T.); #2142=ORIENTED_EDGE('',*,*,#3228,.F.); #2143=ORIENTED_EDGE('',*,*,#3402,.F.); #2144=ORIENTED_EDGE('',*,*,#3401,.T.); #2145=ORIENTED_EDGE('',*,*,#3159,.F.); #2146=ORIENTED_EDGE('',*,*,#3158,.T.); #2147=ORIENTED_EDGE('',*,*,#3198,.T.); #2148=ORIENTED_EDGE('',*,*,#3229,.T.); #2149=ORIENTED_EDGE('',*,*,#3565,.F.); #2150=ORIENTED_EDGE('',*,*,#3156,.T.); #2151=ORIENTED_EDGE('',*,*,#3566,.T.); #2152=ORIENTED_EDGE('',*,*,#3230,.T.); #2153=ORIENTED_EDGE('',*,*,#3196,.F.); #2154=ORIENTED_EDGE('',*,*,#3400,.T.); #2155=ORIENTED_EDGE('',*,*,#3399,.T.); #2156=ORIENTED_EDGE('',*,*,#3231,.F.); #2157=ORIENTED_EDGE('',*,*,#3566,.F.); #2158=ORIENTED_EDGE('',*,*,#3155,.F.); #2159=ORIENTED_EDGE('',*,*,#3567,.T.); #2160=ORIENTED_EDGE('',*,*,#3233,.F.); #2161=ORIENTED_EDGE('',*,*,#3398,.F.); #2162=ORIENTED_EDGE('',*,*,#3397,.T.); #2163=ORIENTED_EDGE('',*,*,#3153,.F.); #2164=ORIENTED_EDGE('',*,*,#3152,.T.); #2165=ORIENTED_EDGE('',*,*,#3195,.T.); #2166=ORIENTED_EDGE('',*,*,#3234,.T.); #2167=ORIENTED_EDGE('',*,*,#3567,.F.); #2168=ORIENTED_EDGE('',*,*,#3150,.T.); #2169=ORIENTED_EDGE('',*,*,#3568,.T.); #2170=ORIENTED_EDGE('',*,*,#3235,.T.); #2171=ORIENTED_EDGE('',*,*,#3193,.F.); #2172=ORIENTED_EDGE('',*,*,#3396,.T.); #2173=ORIENTED_EDGE('',*,*,#3395,.T.); #2174=ORIENTED_EDGE('',*,*,#3236,.F.); #2175=ORIENTED_EDGE('',*,*,#3568,.F.); #2176=ORIENTED_EDGE('',*,*,#3149,.F.); #2177=ORIENTED_EDGE('',*,*,#3569,.T.); #2178=ORIENTED_EDGE('',*,*,#3238,.F.); #2179=ORIENTED_EDGE('',*,*,#3394,.F.); #2180=ORIENTED_EDGE('',*,*,#3393,.T.); #2181=ORIENTED_EDGE('',*,*,#3147,.F.); #2182=ORIENTED_EDGE('',*,*,#3146,.T.); #2183=ORIENTED_EDGE('',*,*,#3192,.T.); #2184=ORIENTED_EDGE('',*,*,#3239,.T.); #2185=ORIENTED_EDGE('',*,*,#3569,.F.); #2186=ORIENTED_EDGE('',*,*,#3144,.T.); #2187=ORIENTED_EDGE('',*,*,#3570,.T.); #2188=ORIENTED_EDGE('',*,*,#3240,.T.); #2189=ORIENTED_EDGE('',*,*,#3190,.F.); #2190=ORIENTED_EDGE('',*,*,#3392,.T.); #2191=ORIENTED_EDGE('',*,*,#3391,.T.); #2192=ORIENTED_EDGE('',*,*,#3241,.F.); #2193=ORIENTED_EDGE('',*,*,#3570,.F.); #2194=ORIENTED_EDGE('',*,*,#3143,.F.); #2195=ORIENTED_EDGE('',*,*,#3571,.T.); #2196=ORIENTED_EDGE('',*,*,#3243,.F.); #2197=ORIENTED_EDGE('',*,*,#3390,.F.); #2198=ORIENTED_EDGE('',*,*,#3389,.T.); #2199=ORIENTED_EDGE('',*,*,#3141,.F.); #2200=ORIENTED_EDGE('',*,*,#3140,.T.); #2201=ORIENTED_EDGE('',*,*,#3189,.T.); #2202=ORIENTED_EDGE('',*,*,#3244,.T.); #2203=ORIENTED_EDGE('',*,*,#3571,.F.); #2204=ORIENTED_EDGE('',*,*,#3138,.T.); #2205=ORIENTED_EDGE('',*,*,#3572,.T.); #2206=ORIENTED_EDGE('',*,*,#3245,.T.); #2207=ORIENTED_EDGE('',*,*,#3187,.F.); #2208=ORIENTED_EDGE('',*,*,#3388,.T.); #2209=ORIENTED_EDGE('',*,*,#3387,.T.); #2210=ORIENTED_EDGE('',*,*,#3246,.F.); #2211=ORIENTED_EDGE('',*,*,#3572,.F.); #2212=ORIENTED_EDGE('',*,*,#3137,.F.); #2213=ORIENTED_EDGE('',*,*,#3573,.T.); #2214=ORIENTED_EDGE('',*,*,#3248,.F.); #2215=ORIENTED_EDGE('',*,*,#3426,.F.); #2216=ORIENTED_EDGE('',*,*,#3425,.T.); #2217=ORIENTED_EDGE('',*,*,#3135,.F.); #2218=ORIENTED_EDGE('',*,*,#3134,.T.); #2219=ORIENTED_EDGE('',*,*,#3186,.T.); #2220=ORIENTED_EDGE('',*,*,#3249,.T.); #2221=ORIENTED_EDGE('',*,*,#3573,.F.); #2222=ORIENTED_EDGE('',*,*,#3132,.T.); #2223=ORIENTED_EDGE('',*,*,#3574,.T.); #2224=ORIENTED_EDGE('',*,*,#3250,.T.); #2225=ORIENTED_EDGE('',*,*,#3184,.F.); #2226=ORIENTED_EDGE('',*,*,#3424,.T.); #2227=ORIENTED_EDGE('',*,*,#3423,.T.); #2228=ORIENTED_EDGE('',*,*,#3251,.F.); #2229=ORIENTED_EDGE('',*,*,#3574,.F.); #2230=ORIENTED_EDGE('',*,*,#3131,.F.); #2231=ORIENTED_EDGE('',*,*,#3575,.T.); #2232=ORIENTED_EDGE('',*,*,#3253,.F.); #2233=ORIENTED_EDGE('',*,*,#3422,.F.); #2234=ORIENTED_EDGE('',*,*,#3421,.T.); #2235=ORIENTED_EDGE('',*,*,#3129,.F.); #2236=ORIENTED_EDGE('',*,*,#3128,.T.); #2237=ORIENTED_EDGE('',*,*,#3183,.T.); #2238=ORIENTED_EDGE('',*,*,#3254,.T.); #2239=ORIENTED_EDGE('',*,*,#3575,.F.); #2240=ORIENTED_EDGE('',*,*,#3118,.T.); #2241=ORIENTED_EDGE('',*,*,#3178,.T.); #2242=ORIENTED_EDGE('',*,*,#3209,.T.); #2243=ORIENTED_EDGE('',*,*,#3576,.F.); #2244=ORIENTED_EDGE('',*,*,#3576,.T.); #2245=ORIENTED_EDGE('',*,*,#3258,.F.); #2246=ORIENTED_EDGE('',*,*,#3418,.F.); #2247=ORIENTED_EDGE('',*,*,#3417,.T.); #2248=ORIENTED_EDGE('',*,*,#3119,.F.); #2249=ORIENTED_EDGE('',*,*,#3420,.T.); #2250=ORIENTED_EDGE('',*,*,#3419,.T.); #2251=ORIENTED_EDGE('',*,*,#3256,.F.); #2252=ORIENTED_EDGE('',*,*,#3577,.F.); #2253=ORIENTED_EDGE('',*,*,#3125,.F.); #2254=ORIENTED_EDGE('',*,*,#3126,.T.); #2255=ORIENTED_EDGE('',*,*,#3577,.T.); #2256=ORIENTED_EDGE('',*,*,#3255,.T.); #2257=ORIENTED_EDGE('',*,*,#3181,.F.); #2258=ORIENTED_EDGE('',*,*,#3578,.F.); #2259=ORIENTED_EDGE('',*,*,#3076,.F.); #2260=ORIENTED_EDGE('',*,*,#3579,.F.); #2261=ORIENTED_EDGE('',*,*,#3074,.F.); #2262=ORIENTED_EDGE('',*,*,#3427,.T.); #2263=ORIENTED_EDGE('',*,*,#3079,.T.); #2264=ORIENTED_EDGE('',*,*,#3580,.F.); #2265=ORIENTED_EDGE('',*,*,#3581,.F.); #2266=ORIENTED_EDGE('',*,*,#3582,.T.); #2267=ORIENTED_EDGE('',*,*,#3078,.T.); #2268=ORIENTED_EDGE('',*,*,#3583,.F.); #2269=ORIENTED_EDGE('',*,*,#3584,.F.); #2270=ORIENTED_EDGE('',*,*,#3580,.T.); #2271=ORIENTED_EDGE('',*,*,#3085,.T.); #2272=ORIENTED_EDGE('',*,*,#3585,.F.); #2273=ORIENTED_EDGE('',*,*,#3586,.F.); #2274=ORIENTED_EDGE('',*,*,#3583,.T.); #2275=ORIENTED_EDGE('',*,*,#3084,.T.); #2276=ORIENTED_EDGE('',*,*,#3587,.F.); #2277=ORIENTED_EDGE('',*,*,#3588,.F.); #2278=ORIENTED_EDGE('',*,*,#3585,.T.); #2279=ORIENTED_EDGE('',*,*,#3083,.T.); #2280=ORIENTED_EDGE('',*,*,#3589,.F.); #2281=ORIENTED_EDGE('',*,*,#3590,.F.); #2282=ORIENTED_EDGE('',*,*,#3587,.T.); #2283=ORIENTED_EDGE('',*,*,#3082,.T.); #2284=ORIENTED_EDGE('',*,*,#3591,.F.); #2285=ORIENTED_EDGE('',*,*,#3592,.F.); #2286=ORIENTED_EDGE('',*,*,#3589,.T.); #2287=ORIENTED_EDGE('',*,*,#3081,.T.); #2288=ORIENTED_EDGE('',*,*,#3593,.F.); #2289=ORIENTED_EDGE('',*,*,#3594,.F.); #2290=ORIENTED_EDGE('',*,*,#3591,.T.); #2291=ORIENTED_EDGE('',*,*,#3595,.F.); #2292=ORIENTED_EDGE('',*,*,#3596,.F.); #2293=ORIENTED_EDGE('',*,*,#3597,.T.); #2294=ORIENTED_EDGE('',*,*,#3598,.T.); #2295=ORIENTED_EDGE('',*,*,#3599,.F.); #2296=ORIENTED_EDGE('',*,*,#3600,.F.); #2297=ORIENTED_EDGE('',*,*,#3601,.T.); #2298=ORIENTED_EDGE('',*,*,#3596,.T.); #2299=ORIENTED_EDGE('',*,*,#3602,.F.); #2300=ORIENTED_EDGE('',*,*,#3598,.F.); #2301=ORIENTED_EDGE('',*,*,#3603,.T.); #2302=ORIENTED_EDGE('',*,*,#3600,.T.); #2303=ORIENTED_EDGE('',*,*,#3080,.T.); #2304=ORIENTED_EDGE('',*,*,#3582,.F.); #2305=ORIENTED_EDGE('',*,*,#3604,.F.); #2306=ORIENTED_EDGE('',*,*,#3593,.T.); #2307=ORIENTED_EDGE('',*,*,#3597,.F.); #2308=ORIENTED_EDGE('',*,*,#3601,.F.); #2309=ORIENTED_EDGE('',*,*,#3603,.F.); #2310=ORIENTED_EDGE('',*,*,#3581,.T.); #2311=ORIENTED_EDGE('',*,*,#3584,.T.); #2312=ORIENTED_EDGE('',*,*,#3586,.T.); #2313=ORIENTED_EDGE('',*,*,#3588,.T.); #2314=ORIENTED_EDGE('',*,*,#3590,.T.); #2315=ORIENTED_EDGE('',*,*,#3592,.T.); #2316=ORIENTED_EDGE('',*,*,#3594,.T.); #2317=ORIENTED_EDGE('',*,*,#3604,.T.); #2318=ORIENTED_EDGE('',*,*,#3595,.T.); #2319=ORIENTED_EDGE('',*,*,#3602,.T.); #2320=ORIENTED_EDGE('',*,*,#3599,.T.); #2321=ORIENTED_EDGE('',*,*,#3070,.T.); #2322=ORIENTED_EDGE('',*,*,#3605,.F.); #2323=ORIENTED_EDGE('',*,*,#3606,.F.); #2324=ORIENTED_EDGE('',*,*,#3607,.T.); #2325=ORIENTED_EDGE('',*,*,#3069,.T.); #2326=ORIENTED_EDGE('',*,*,#3608,.F.); #2327=ORIENTED_EDGE('',*,*,#3609,.F.); #2328=ORIENTED_EDGE('',*,*,#3605,.T.); #2329=ORIENTED_EDGE('',*,*,#3073,.T.); #2330=ORIENTED_EDGE('',*,*,#3610,.F.); #2331=ORIENTED_EDGE('',*,*,#3611,.F.); #2332=ORIENTED_EDGE('',*,*,#3608,.T.); #2333=ORIENTED_EDGE('',*,*,#3072,.T.); #2334=ORIENTED_EDGE('',*,*,#3612,.F.); #2335=ORIENTED_EDGE('',*,*,#3613,.F.); #2336=ORIENTED_EDGE('',*,*,#3610,.T.); #2337=ORIENTED_EDGE('',*,*,#3614,.F.); #2338=ORIENTED_EDGE('',*,*,#3615,.F.); #2339=ORIENTED_EDGE('',*,*,#3616,.T.); #2340=ORIENTED_EDGE('',*,*,#3617,.T.); #2341=ORIENTED_EDGE('',*,*,#3618,.F.); #2342=ORIENTED_EDGE('',*,*,#3619,.F.); #2343=ORIENTED_EDGE('',*,*,#3620,.T.); #2344=ORIENTED_EDGE('',*,*,#3615,.T.); #2345=ORIENTED_EDGE('',*,*,#3621,.F.); #2346=ORIENTED_EDGE('',*,*,#3622,.F.); #2347=ORIENTED_EDGE('',*,*,#3623,.T.); #2348=ORIENTED_EDGE('',*,*,#3619,.T.); #2349=ORIENTED_EDGE('',*,*,#3624,.F.); #2350=ORIENTED_EDGE('',*,*,#3625,.F.); #2351=ORIENTED_EDGE('',*,*,#3626,.T.); #2352=ORIENTED_EDGE('',*,*,#3627,.T.); #2353=ORIENTED_EDGE('',*,*,#3628,.F.); #2354=ORIENTED_EDGE('',*,*,#3629,.F.); #2355=ORIENTED_EDGE('',*,*,#3630,.T.); #2356=ORIENTED_EDGE('',*,*,#3625,.T.); #2357=ORIENTED_EDGE('',*,*,#3631,.F.); #2358=ORIENTED_EDGE('',*,*,#3632,.F.); #2359=ORIENTED_EDGE('',*,*,#3633,.T.); #2360=ORIENTED_EDGE('',*,*,#3629,.T.); #2361=ORIENTED_EDGE('',*,*,#3634,.F.); #2362=ORIENTED_EDGE('',*,*,#3617,.F.); #2363=ORIENTED_EDGE('',*,*,#3635,.T.); #2364=ORIENTED_EDGE('',*,*,#3622,.T.); #2365=ORIENTED_EDGE('',*,*,#3636,.F.); #2366=ORIENTED_EDGE('',*,*,#3627,.F.); #2367=ORIENTED_EDGE('',*,*,#3637,.T.); #2368=ORIENTED_EDGE('',*,*,#3632,.T.); #2369=ORIENTED_EDGE('',*,*,#3071,.T.); #2370=ORIENTED_EDGE('',*,*,#3607,.F.); #2371=ORIENTED_EDGE('',*,*,#3638,.F.); #2372=ORIENTED_EDGE('',*,*,#3612,.T.); #2373=ORIENTED_EDGE('',*,*,#3626,.F.); #2374=ORIENTED_EDGE('',*,*,#3630,.F.); #2375=ORIENTED_EDGE('',*,*,#3633,.F.); #2376=ORIENTED_EDGE('',*,*,#3637,.F.); #2377=ORIENTED_EDGE('',*,*,#3616,.F.); #2378=ORIENTED_EDGE('',*,*,#3620,.F.); #2379=ORIENTED_EDGE('',*,*,#3623,.F.); #2380=ORIENTED_EDGE('',*,*,#3635,.F.); #2381=ORIENTED_EDGE('',*,*,#3606,.T.); #2382=ORIENTED_EDGE('',*,*,#3609,.T.); #2383=ORIENTED_EDGE('',*,*,#3611,.T.); #2384=ORIENTED_EDGE('',*,*,#3613,.T.); #2385=ORIENTED_EDGE('',*,*,#3638,.T.); #2386=ORIENTED_EDGE('',*,*,#3624,.T.); #2387=ORIENTED_EDGE('',*,*,#3636,.T.); #2388=ORIENTED_EDGE('',*,*,#3631,.T.); #2389=ORIENTED_EDGE('',*,*,#3628,.T.); #2390=ORIENTED_EDGE('',*,*,#3614,.T.); #2391=ORIENTED_EDGE('',*,*,#3634,.T.); #2392=ORIENTED_EDGE('',*,*,#3621,.T.); #2393=ORIENTED_EDGE('',*,*,#3618,.T.); #2394=ORIENTED_EDGE('',*,*,#3639,.T.); #2395=ORIENTED_EDGE('',*,*,#3640,.F.); #2396=ORIENTED_EDGE('',*,*,#3341,.F.); #2397=ORIENTED_EDGE('',*,*,#3641,.T.); #2398=ORIENTED_EDGE('',*,*,#3641,.F.); #2399=ORIENTED_EDGE('',*,*,#3353,.F.); #2400=ORIENTED_EDGE('',*,*,#3352,.F.); #2401=ORIENTED_EDGE('',*,*,#3642,.T.); #2402=ORIENTED_EDGE('',*,*,#3643,.T.); #2403=ORIENTED_EDGE('',*,*,#3642,.F.); #2404=ORIENTED_EDGE('',*,*,#3351,.F.); #2405=ORIENTED_EDGE('',*,*,#3644,.T.); #2406=ORIENTED_EDGE('',*,*,#3645,.T.); #2407=ORIENTED_EDGE('',*,*,#3644,.F.); #2408=ORIENTED_EDGE('',*,*,#3350,.F.); #2409=ORIENTED_EDGE('',*,*,#3646,.T.); #2410=ORIENTED_EDGE('',*,*,#3647,.T.); #2411=ORIENTED_EDGE('',*,*,#3646,.F.); #2412=ORIENTED_EDGE('',*,*,#3349,.F.); #2413=ORIENTED_EDGE('',*,*,#3648,.T.); #2414=ORIENTED_EDGE('',*,*,#3649,.T.); #2415=ORIENTED_EDGE('',*,*,#3648,.F.); #2416=ORIENTED_EDGE('',*,*,#3348,.F.); #2417=ORIENTED_EDGE('',*,*,#3650,.T.); #2418=ORIENTED_EDGE('',*,*,#3651,.T.); #2419=ORIENTED_EDGE('',*,*,#3650,.F.); #2420=ORIENTED_EDGE('',*,*,#3347,.F.); #2421=ORIENTED_EDGE('',*,*,#3652,.T.); #2422=ORIENTED_EDGE('',*,*,#3653,.T.); #2423=ORIENTED_EDGE('',*,*,#3652,.F.); #2424=ORIENTED_EDGE('',*,*,#3346,.F.); #2425=ORIENTED_EDGE('',*,*,#3654,.T.); #2426=ORIENTED_EDGE('',*,*,#3655,.T.); #2427=ORIENTED_EDGE('',*,*,#3654,.F.); #2428=ORIENTED_EDGE('',*,*,#3345,.F.); #2429=ORIENTED_EDGE('',*,*,#3656,.T.); #2430=ORIENTED_EDGE('',*,*,#3657,.T.); #2431=ORIENTED_EDGE('',*,*,#3656,.F.); #2432=ORIENTED_EDGE('',*,*,#3344,.F.); #2433=ORIENTED_EDGE('',*,*,#3658,.T.); #2434=ORIENTED_EDGE('',*,*,#3659,.T.); #2435=ORIENTED_EDGE('',*,*,#3658,.F.); #2436=ORIENTED_EDGE('',*,*,#3343,.F.); #2437=ORIENTED_EDGE('',*,*,#3660,.T.); #2438=ORIENTED_EDGE('',*,*,#3661,.T.); #2439=ORIENTED_EDGE('',*,*,#3660,.F.); #2440=ORIENTED_EDGE('',*,*,#3342,.F.); #2441=ORIENTED_EDGE('',*,*,#3640,.T.); #2442=ORIENTED_EDGE('',*,*,#3662,.T.); #2443=ORIENTED_EDGE('',*,*,#3663,.T.); #2444=ORIENTED_EDGE('',*,*,#3664,.T.); #2445=ORIENTED_EDGE('',*,*,#3665,.T.); #2446=ORIENTED_EDGE('',*,*,#3666,.T.); #2447=ORIENTED_EDGE('',*,*,#3667,.T.); #2448=ORIENTED_EDGE('',*,*,#3668,.T.); #2449=ORIENTED_EDGE('',*,*,#3669,.T.); #2450=ORIENTED_EDGE('',*,*,#3670,.T.); #2451=ORIENTED_EDGE('',*,*,#3671,.T.); #2452=ORIENTED_EDGE('',*,*,#3672,.T.); #2453=ORIENTED_EDGE('',*,*,#3673,.T.); #2454=ORIENTED_EDGE('',*,*,#3674,.T.); #2455=ORIENTED_EDGE('',*,*,#3675,.T.); #2456=ORIENTED_EDGE('',*,*,#3676,.T.); #2457=ORIENTED_EDGE('',*,*,#3677,.T.); #2458=ORIENTED_EDGE('',*,*,#3678,.T.); #2459=ORIENTED_EDGE('',*,*,#3679,.T.); #2460=ORIENTED_EDGE('',*,*,#3680,.T.); #2461=ORIENTED_EDGE('',*,*,#3681,.T.); #2462=ORIENTED_EDGE('',*,*,#3682,.T.); #2463=ORIENTED_EDGE('',*,*,#3639,.F.); #2464=ORIENTED_EDGE('',*,*,#3643,.F.); #2465=ORIENTED_EDGE('',*,*,#3645,.F.); #2466=ORIENTED_EDGE('',*,*,#3647,.F.); #2467=ORIENTED_EDGE('',*,*,#3649,.F.); #2468=ORIENTED_EDGE('',*,*,#3651,.F.); #2469=ORIENTED_EDGE('',*,*,#3653,.F.); #2470=ORIENTED_EDGE('',*,*,#3655,.F.); #2471=ORIENTED_EDGE('',*,*,#3657,.F.); #2472=ORIENTED_EDGE('',*,*,#3659,.F.); #2473=ORIENTED_EDGE('',*,*,#3661,.F.); #2474=ORIENTED_EDGE('',*,*,#3662,.F.); #2475=ORIENTED_EDGE('',*,*,#3664,.F.); #2476=ORIENTED_EDGE('',*,*,#3683,.F.); #2477=ORIENTED_EDGE('',*,*,#3106,.T.); #2478=ORIENTED_EDGE('',*,*,#3684,.T.); #2479=ORIENTED_EDGE('',*,*,#3665,.F.); #2480=ORIENTED_EDGE('',*,*,#3684,.F.); #2481=ORIENTED_EDGE('',*,*,#3109,.T.); #2482=ORIENTED_EDGE('',*,*,#3685,.T.); #2483=ORIENTED_EDGE('',*,*,#3666,.F.); #2484=ORIENTED_EDGE('',*,*,#3685,.F.); #2485=ORIENTED_EDGE('',*,*,#3108,.T.); #2486=ORIENTED_EDGE('',*,*,#3686,.T.); #2487=ORIENTED_EDGE('',*,*,#3667,.F.); #2488=ORIENTED_EDGE('',*,*,#3686,.F.); #2489=ORIENTED_EDGE('',*,*,#3107,.F.); #2490=ORIENTED_EDGE('',*,*,#3683,.T.); #2491=ORIENTED_EDGE('',*,*,#3663,.F.); #2492=ORIENTED_EDGE('',*,*,#3687,.F.); #2493=ORIENTED_EDGE('',*,*,#3103,.F.); #2494=ORIENTED_EDGE('',*,*,#3688,.T.); #2495=ORIENTED_EDGE('',*,*,#3679,.F.); #2496=ORIENTED_EDGE('',*,*,#3689,.F.); #2497=ORIENTED_EDGE('',*,*,#3690,.F.); #2498=ORIENTED_EDGE('',*,*,#3691,.T.); #2499=ORIENTED_EDGE('',*,*,#3675,.F.); #2500=ORIENTED_EDGE('',*,*,#3692,.F.); #2501=ORIENTED_EDGE('',*,*,#3115,.F.); #2502=ORIENTED_EDGE('',*,*,#3693,.T.); #2503=ORIENTED_EDGE('',*,*,#3671,.F.); #2504=ORIENTED_EDGE('',*,*,#3694,.F.); #2505=ORIENTED_EDGE('',*,*,#3111,.F.); #2506=ORIENTED_EDGE('',*,*,#3695,.T.); #2507=ORIENTED_EDGE('',*,*,#3696,.T.); #2508=ORIENTED_EDGE('',*,*,#3668,.F.); #2509=ORIENTED_EDGE('',*,*,#3695,.F.); #2510=ORIENTED_EDGE('',*,*,#3110,.T.); #2511=ORIENTED_EDGE('',*,*,#3697,.T.); #2512=ORIENTED_EDGE('',*,*,#3669,.F.); #2513=ORIENTED_EDGE('',*,*,#3697,.F.); #2514=ORIENTED_EDGE('',*,*,#3113,.T.); #2515=ORIENTED_EDGE('',*,*,#3698,.T.); #2516=ORIENTED_EDGE('',*,*,#3670,.F.); #2517=ORIENTED_EDGE('',*,*,#3698,.F.); #2518=ORIENTED_EDGE('',*,*,#3112,.T.); #2519=ORIENTED_EDGE('',*,*,#3694,.T.); #2520=ORIENTED_EDGE('',*,*,#3672,.F.); #2521=ORIENTED_EDGE('',*,*,#3693,.F.); #2522=ORIENTED_EDGE('',*,*,#3114,.T.); #2523=ORIENTED_EDGE('',*,*,#3699,.T.); #2524=ORIENTED_EDGE('',*,*,#3673,.F.); #2525=ORIENTED_EDGE('',*,*,#3699,.F.); #2526=ORIENTED_EDGE('',*,*,#3117,.T.); #2527=ORIENTED_EDGE('',*,*,#3700,.T.); #2528=ORIENTED_EDGE('',*,*,#3674,.F.); #2529=ORIENTED_EDGE('',*,*,#3700,.F.); #2530=ORIENTED_EDGE('',*,*,#3116,.T.); #2531=ORIENTED_EDGE('',*,*,#3692,.T.); #2532=ORIENTED_EDGE('',*,*,#3676,.F.); #2533=ORIENTED_EDGE('',*,*,#3691,.F.); #2534=ORIENTED_EDGE('',*,*,#3701,.T.); #2535=ORIENTED_EDGE('',*,*,#3702,.T.); #2536=ORIENTED_EDGE('',*,*,#3677,.F.); #2537=ORIENTED_EDGE('',*,*,#3702,.F.); #2538=ORIENTED_EDGE('',*,*,#3703,.T.); #2539=ORIENTED_EDGE('',*,*,#3704,.T.); #2540=ORIENTED_EDGE('',*,*,#3678,.F.); #2541=ORIENTED_EDGE('',*,*,#3704,.F.); #2542=ORIENTED_EDGE('',*,*,#3705,.T.); #2543=ORIENTED_EDGE('',*,*,#3689,.T.); #2544=ORIENTED_EDGE('',*,*,#3680,.F.); #2545=ORIENTED_EDGE('',*,*,#3688,.F.); #2546=ORIENTED_EDGE('',*,*,#3102,.T.); #2547=ORIENTED_EDGE('',*,*,#3706,.T.); #2548=ORIENTED_EDGE('',*,*,#3681,.F.); #2549=ORIENTED_EDGE('',*,*,#3706,.F.); #2550=ORIENTED_EDGE('',*,*,#3105,.T.); #2551=ORIENTED_EDGE('',*,*,#3707,.T.); #2552=ORIENTED_EDGE('',*,*,#3682,.F.); #2553=ORIENTED_EDGE('',*,*,#3707,.F.); #2554=ORIENTED_EDGE('',*,*,#3104,.T.); #2555=ORIENTED_EDGE('',*,*,#3687,.T.); #2556=ORIENTED_EDGE('',*,*,#3701,.F.); #2557=ORIENTED_EDGE('',*,*,#3690,.T.); #2558=ORIENTED_EDGE('',*,*,#3705,.F.); #2559=ORIENTED_EDGE('',*,*,#3703,.F.); #2560=ORIENTED_EDGE('',*,*,#3708,.T.); #2561=ORIENTED_EDGE('',*,*,#3696,.F.); #2562=ORIENTED_EDGE('',*,*,#3709,.T.); #2563=ORIENTED_EDGE('',*,*,#3708,.F.); #2564=ORIENTED_EDGE('',*,*,#3710,.T.); #2565=ORIENTED_EDGE('',*,*,#3709,.F.); #2566=ORIENTED_EDGE('',*,*,#3711,.T.); #2567=ORIENTED_EDGE('',*,*,#3710,.F.); #2568=ORIENTED_EDGE('',*,*,#3712,.T.); #2569=ORIENTED_EDGE('',*,*,#3713,.T.); #2570=ORIENTED_EDGE('',*,*,#3714,.T.); #2571=ORIENTED_EDGE('',*,*,#3715,.T.); #2572=ORIENTED_EDGE('',*,*,#3716,.T.); #2573=ORIENTED_EDGE('',*,*,#3717,.T.); #2574=ORIENTED_EDGE('',*,*,#3718,.T.); #2575=ORIENTED_EDGE('',*,*,#3719,.T.); #2576=ORIENTED_EDGE('',*,*,#3720,.T.); #2577=ORIENTED_EDGE('',*,*,#3721,.F.); #2578=ORIENTED_EDGE('',*,*,#3722,.T.); #2579=ORIENTED_EDGE('',*,*,#3723,.T.); #2580=ORIENTED_EDGE('',*,*,#3724,.T.); #2581=ORIENTED_EDGE('',*,*,#3725,.T.); #2582=ORIENTED_EDGE('',*,*,#3726,.T.); #2583=ORIENTED_EDGE('',*,*,#3727,.T.); #2584=ORIENTED_EDGE('',*,*,#3728,.T.); #2585=ORIENTED_EDGE('',*,*,#3729,.T.); #2586=ORIENTED_EDGE('',*,*,#3730,.T.); #2587=ORIENTED_EDGE('',*,*,#3731,.T.); #2588=ORIENTED_EDGE('',*,*,#3732,.T.); #2589=ORIENTED_EDGE('',*,*,#3733,.T.); #2590=ORIENTED_EDGE('',*,*,#3734,.T.); #2591=ORIENTED_EDGE('',*,*,#3735,.T.); #2592=ORIENTED_EDGE('',*,*,#3736,.T.); #2593=ORIENTED_EDGE('',*,*,#3737,.T.); #2594=ORIENTED_EDGE('',*,*,#3738,.T.); #2595=ORIENTED_EDGE('',*,*,#3739,.T.); #2596=ORIENTED_EDGE('',*,*,#3740,.T.); #2597=ORIENTED_EDGE('',*,*,#3741,.T.); #2598=ORIENTED_EDGE('',*,*,#3742,.T.); #2599=ORIENTED_EDGE('',*,*,#3743,.T.); #2600=ORIENTED_EDGE('',*,*,#3744,.T.); #2601=ORIENTED_EDGE('',*,*,#3711,.F.); #2602=ORIENTED_EDGE('',*,*,#3087,.T.); #2603=ORIENTED_EDGE('',*,*,#3745,.F.); #2604=ORIENTED_EDGE('',*,*,#3746,.F.); #2605=ORIENTED_EDGE('',*,*,#3747,.T.); #2606=ORIENTED_EDGE('',*,*,#3086,.T.); #2607=ORIENTED_EDGE('',*,*,#3748,.F.); #2608=ORIENTED_EDGE('',*,*,#3749,.F.); #2609=ORIENTED_EDGE('',*,*,#3745,.T.); #2610=ORIENTED_EDGE('',*,*,#3092,.T.); #2611=ORIENTED_EDGE('',*,*,#3750,.F.); #2612=ORIENTED_EDGE('',*,*,#3751,.F.); #2613=ORIENTED_EDGE('',*,*,#3748,.T.); #2614=ORIENTED_EDGE('',*,*,#3091,.T.); #2615=ORIENTED_EDGE('',*,*,#3752,.F.); #2616=ORIENTED_EDGE('',*,*,#3753,.F.); #2617=ORIENTED_EDGE('',*,*,#3750,.T.); #2618=ORIENTED_EDGE('',*,*,#3090,.T.); #2619=ORIENTED_EDGE('',*,*,#3754,.F.); #2620=ORIENTED_EDGE('',*,*,#3755,.F.); #2621=ORIENTED_EDGE('',*,*,#3752,.T.); #2622=ORIENTED_EDGE('',*,*,#3089,.T.); #2623=ORIENTED_EDGE('',*,*,#3756,.F.); #2624=ORIENTED_EDGE('',*,*,#3757,.F.); #2625=ORIENTED_EDGE('',*,*,#3754,.T.); #2626=ORIENTED_EDGE('',*,*,#3088,.T.); #2627=ORIENTED_EDGE('',*,*,#3747,.F.); #2628=ORIENTED_EDGE('',*,*,#3758,.F.); #2629=ORIENTED_EDGE('',*,*,#3756,.T.); #2630=ORIENTED_EDGE('',*,*,#3746,.T.); #2631=ORIENTED_EDGE('',*,*,#3749,.T.); #2632=ORIENTED_EDGE('',*,*,#3751,.T.); #2633=ORIENTED_EDGE('',*,*,#3753,.T.); #2634=ORIENTED_EDGE('',*,*,#3755,.T.); #2635=ORIENTED_EDGE('',*,*,#3757,.T.); #2636=ORIENTED_EDGE('',*,*,#3758,.T.); #2637=ORIENTED_EDGE('',*,*,#3094,.T.); #2638=ORIENTED_EDGE('',*,*,#3759,.F.); #2639=ORIENTED_EDGE('',*,*,#3760,.F.); #2640=ORIENTED_EDGE('',*,*,#3761,.T.); #2641=ORIENTED_EDGE('',*,*,#3093,.T.); #2642=ORIENTED_EDGE('',*,*,#3762,.F.); #2643=ORIENTED_EDGE('',*,*,#3763,.F.); #2644=ORIENTED_EDGE('',*,*,#3759,.T.); #2645=ORIENTED_EDGE('',*,*,#3101,.F.); #2646=ORIENTED_EDGE('',*,*,#3764,.F.); #2647=ORIENTED_EDGE('',*,*,#3765,.T.); #2648=ORIENTED_EDGE('',*,*,#3762,.T.); #2649=ORIENTED_EDGE('',*,*,#3100,.T.); #2650=ORIENTED_EDGE('',*,*,#3766,.F.); #2651=ORIENTED_EDGE('',*,*,#3767,.F.); #2652=ORIENTED_EDGE('',*,*,#3764,.T.); #2653=ORIENTED_EDGE('',*,*,#3099,.T.); #2654=ORIENTED_EDGE('',*,*,#3768,.F.); #2655=ORIENTED_EDGE('',*,*,#3769,.F.); #2656=ORIENTED_EDGE('',*,*,#3766,.T.); #2657=ORIENTED_EDGE('',*,*,#3098,.T.); #2658=ORIENTED_EDGE('',*,*,#3770,.F.); #2659=ORIENTED_EDGE('',*,*,#3771,.F.); #2660=ORIENTED_EDGE('',*,*,#3768,.T.); #2661=ORIENTED_EDGE('',*,*,#3097,.T.); #2662=ORIENTED_EDGE('',*,*,#3772,.F.); #2663=ORIENTED_EDGE('',*,*,#3773,.F.); #2664=ORIENTED_EDGE('',*,*,#3770,.T.); #2665=ORIENTED_EDGE('',*,*,#3096,.T.); #2666=ORIENTED_EDGE('',*,*,#3774,.F.); #2667=ORIENTED_EDGE('',*,*,#3775,.F.); #2668=ORIENTED_EDGE('',*,*,#3772,.T.); #2669=ORIENTED_EDGE('',*,*,#3095,.T.); #2670=ORIENTED_EDGE('',*,*,#3761,.F.); #2671=ORIENTED_EDGE('',*,*,#3776,.F.); #2672=ORIENTED_EDGE('',*,*,#3774,.T.); #2673=ORIENTED_EDGE('',*,*,#3760,.T.); #2674=ORIENTED_EDGE('',*,*,#3763,.T.); #2675=ORIENTED_EDGE('',*,*,#3765,.F.); #2676=ORIENTED_EDGE('',*,*,#3767,.T.); #2677=ORIENTED_EDGE('',*,*,#3769,.T.); #2678=ORIENTED_EDGE('',*,*,#3771,.T.); #2679=ORIENTED_EDGE('',*,*,#3773,.T.); #2680=ORIENTED_EDGE('',*,*,#3775,.T.); #2681=ORIENTED_EDGE('',*,*,#3776,.T.); #2682=ORIENTED_EDGE('',*,*,#3712,.F.); #2683=ORIENTED_EDGE('',*,*,#3777,.T.); #2684=ORIENTED_EDGE('',*,*,#3777,.F.); #2685=ORIENTED_EDGE('',*,*,#3744,.F.); #2686=ORIENTED_EDGE('',*,*,#3778,.T.); #2687=ORIENTED_EDGE('',*,*,#3778,.F.); #2688=ORIENTED_EDGE('',*,*,#3743,.F.); #2689=ORIENTED_EDGE('',*,*,#3779,.T.); #2690=ORIENTED_EDGE('',*,*,#3779,.F.); #2691=ORIENTED_EDGE('',*,*,#3742,.F.); #2692=ORIENTED_EDGE('',*,*,#3780,.T.); #2693=ORIENTED_EDGE('',*,*,#3780,.F.); #2694=ORIENTED_EDGE('',*,*,#3734,.F.); #2695=ORIENTED_EDGE('',*,*,#3781,.F.); #2696=ORIENTED_EDGE('',*,*,#3782,.T.); #2697=ORIENTED_EDGE('',*,*,#3783,.T.); #2698=ORIENTED_EDGE('',*,*,#3735,.F.); #2699=ORIENTED_EDGE('',*,*,#3783,.F.); #2700=ORIENTED_EDGE('',*,*,#3784,.T.); #2701=ORIENTED_EDGE('',*,*,#3785,.T.); #2702=ORIENTED_EDGE('',*,*,#3736,.F.); #2703=ORIENTED_EDGE('',*,*,#3785,.F.); #2704=ORIENTED_EDGE('',*,*,#3786,.T.); #2705=ORIENTED_EDGE('',*,*,#3787,.T.); #2706=ORIENTED_EDGE('',*,*,#3737,.F.); #2707=ORIENTED_EDGE('',*,*,#3787,.F.); #2708=ORIENTED_EDGE('',*,*,#3788,.T.); #2709=ORIENTED_EDGE('',*,*,#3789,.T.); #2710=ORIENTED_EDGE('',*,*,#3738,.F.); #2711=ORIENTED_EDGE('',*,*,#3789,.F.); #2712=ORIENTED_EDGE('',*,*,#3790,.T.); #2713=ORIENTED_EDGE('',*,*,#3791,.T.); #2714=ORIENTED_EDGE('',*,*,#3739,.F.); #2715=ORIENTED_EDGE('',*,*,#3791,.F.); #2716=ORIENTED_EDGE('',*,*,#3792,.T.); #2717=ORIENTED_EDGE('',*,*,#3793,.T.); #2718=ORIENTED_EDGE('',*,*,#3740,.F.); #2719=ORIENTED_EDGE('',*,*,#3793,.F.); #2720=ORIENTED_EDGE('',*,*,#3794,.T.); #2721=ORIENTED_EDGE('',*,*,#3795,.T.); #2722=ORIENTED_EDGE('',*,*,#3796,.T.); #2723=ORIENTED_EDGE('',*,*,#3797,.F.); #2724=ORIENTED_EDGE('',*,*,#3798,.F.); #2725=ORIENTED_EDGE('',*,*,#3799,.T.); #2726=ORIENTED_EDGE('',*,*,#3800,.T.); #2727=ORIENTED_EDGE('',*,*,#3799,.F.); #2728=ORIENTED_EDGE('',*,*,#3801,.F.); #2729=ORIENTED_EDGE('',*,*,#3802,.T.); #2730=ORIENTED_EDGE('',*,*,#3803,.T.); #2731=ORIENTED_EDGE('',*,*,#3802,.F.); #2732=ORIENTED_EDGE('',*,*,#3804,.F.); #2733=ORIENTED_EDGE('',*,*,#3797,.T.); #2734=ORIENTED_EDGE('',*,*,#3741,.F.); #2735=ORIENTED_EDGE('',*,*,#3795,.F.); #2736=ORIENTED_EDGE('',*,*,#3805,.T.); #2737=ORIENTED_EDGE('',*,*,#3781,.T.); #2738=ORIENTED_EDGE('',*,*,#3798,.T.); #2739=ORIENTED_EDGE('',*,*,#3804,.T.); #2740=ORIENTED_EDGE('',*,*,#3801,.T.); #2741=ORIENTED_EDGE('',*,*,#3782,.F.); #2742=ORIENTED_EDGE('',*,*,#3805,.F.); #2743=ORIENTED_EDGE('',*,*,#3794,.F.); #2744=ORIENTED_EDGE('',*,*,#3792,.F.); #2745=ORIENTED_EDGE('',*,*,#3790,.F.); #2746=ORIENTED_EDGE('',*,*,#3788,.F.); #2747=ORIENTED_EDGE('',*,*,#3786,.F.); #2748=ORIENTED_EDGE('',*,*,#3784,.F.); #2749=ORIENTED_EDGE('',*,*,#3803,.F.); #2750=ORIENTED_EDGE('',*,*,#3796,.F.); #2751=ORIENTED_EDGE('',*,*,#3800,.F.); #2752=ORIENTED_EDGE('',*,*,#3729,.F.); #2753=ORIENTED_EDGE('',*,*,#3806,.F.); #2754=ORIENTED_EDGE('',*,*,#3807,.T.); #2755=ORIENTED_EDGE('',*,*,#3808,.T.); #2756=ORIENTED_EDGE('',*,*,#3730,.F.); #2757=ORIENTED_EDGE('',*,*,#3808,.F.); #2758=ORIENTED_EDGE('',*,*,#3809,.T.); #2759=ORIENTED_EDGE('',*,*,#3810,.T.); #2760=ORIENTED_EDGE('',*,*,#3731,.F.); #2761=ORIENTED_EDGE('',*,*,#3810,.F.); #2762=ORIENTED_EDGE('',*,*,#3811,.T.); #2763=ORIENTED_EDGE('',*,*,#3812,.T.); #2764=ORIENTED_EDGE('',*,*,#3732,.F.); #2765=ORIENTED_EDGE('',*,*,#3812,.F.); #2766=ORIENTED_EDGE('',*,*,#3813,.T.); #2767=ORIENTED_EDGE('',*,*,#3814,.T.); #2768=ORIENTED_EDGE('',*,*,#3815,.T.); #2769=ORIENTED_EDGE('',*,*,#3816,.F.); #2770=ORIENTED_EDGE('',*,*,#3817,.F.); #2771=ORIENTED_EDGE('',*,*,#3818,.T.); #2772=ORIENTED_EDGE('',*,*,#3819,.T.); #2773=ORIENTED_EDGE('',*,*,#3818,.F.); #2774=ORIENTED_EDGE('',*,*,#3820,.F.); #2775=ORIENTED_EDGE('',*,*,#3821,.T.); #2776=ORIENTED_EDGE('',*,*,#3822,.T.); #2777=ORIENTED_EDGE('',*,*,#3821,.F.); #2778=ORIENTED_EDGE('',*,*,#3823,.F.); #2779=ORIENTED_EDGE('',*,*,#3824,.T.); #2780=ORIENTED_EDGE('',*,*,#3825,.T.); #2781=ORIENTED_EDGE('',*,*,#3826,.F.); #2782=ORIENTED_EDGE('',*,*,#3827,.F.); #2783=ORIENTED_EDGE('',*,*,#3828,.T.); #2784=ORIENTED_EDGE('',*,*,#3829,.T.); #2785=ORIENTED_EDGE('',*,*,#3828,.F.); #2786=ORIENTED_EDGE('',*,*,#3830,.F.); #2787=ORIENTED_EDGE('',*,*,#3831,.T.); #2788=ORIENTED_EDGE('',*,*,#3832,.T.); #2789=ORIENTED_EDGE('',*,*,#3831,.F.); #2790=ORIENTED_EDGE('',*,*,#3833,.F.); #2791=ORIENTED_EDGE('',*,*,#3834,.T.); #2792=ORIENTED_EDGE('',*,*,#3835,.T.); #2793=ORIENTED_EDGE('',*,*,#3824,.F.); #2794=ORIENTED_EDGE('',*,*,#3836,.F.); #2795=ORIENTED_EDGE('',*,*,#3816,.T.); #2796=ORIENTED_EDGE('',*,*,#3837,.T.); #2797=ORIENTED_EDGE('',*,*,#3834,.F.); #2798=ORIENTED_EDGE('',*,*,#3838,.F.); #2799=ORIENTED_EDGE('',*,*,#3826,.T.); #2800=ORIENTED_EDGE('',*,*,#3733,.F.); #2801=ORIENTED_EDGE('',*,*,#3814,.F.); #2802=ORIENTED_EDGE('',*,*,#3839,.T.); #2803=ORIENTED_EDGE('',*,*,#3806,.T.); #2804=ORIENTED_EDGE('',*,*,#3827,.T.); #2805=ORIENTED_EDGE('',*,*,#3838,.T.); #2806=ORIENTED_EDGE('',*,*,#3833,.T.); #2807=ORIENTED_EDGE('',*,*,#3830,.T.); #2808=ORIENTED_EDGE('',*,*,#3817,.T.); #2809=ORIENTED_EDGE('',*,*,#3836,.T.); #2810=ORIENTED_EDGE('',*,*,#3823,.T.); #2811=ORIENTED_EDGE('',*,*,#3820,.T.); #2812=ORIENTED_EDGE('',*,*,#3807,.F.); #2813=ORIENTED_EDGE('',*,*,#3839,.F.); #2814=ORIENTED_EDGE('',*,*,#3813,.F.); #2815=ORIENTED_EDGE('',*,*,#3811,.F.); #2816=ORIENTED_EDGE('',*,*,#3809,.F.); #2817=ORIENTED_EDGE('',*,*,#3837,.F.); #2818=ORIENTED_EDGE('',*,*,#3825,.F.); #2819=ORIENTED_EDGE('',*,*,#3829,.F.); #2820=ORIENTED_EDGE('',*,*,#3832,.F.); #2821=ORIENTED_EDGE('',*,*,#3835,.F.); #2822=ORIENTED_EDGE('',*,*,#3815,.F.); #2823=ORIENTED_EDGE('',*,*,#3819,.F.); #2824=ORIENTED_EDGE('',*,*,#3822,.F.); #2825=ORIENTED_EDGE('',*,*,#3722,.F.); #2826=ORIENTED_EDGE('',*,*,#3840,.F.); #2827=ORIENTED_EDGE('',*,*,#3841,.T.); #2828=ORIENTED_EDGE('',*,*,#3842,.T.); #2829=ORIENTED_EDGE('',*,*,#3723,.F.); #2830=ORIENTED_EDGE('',*,*,#3842,.F.); #2831=ORIENTED_EDGE('',*,*,#3843,.T.); #2832=ORIENTED_EDGE('',*,*,#3844,.T.); #2833=ORIENTED_EDGE('',*,*,#3724,.F.); #2834=ORIENTED_EDGE('',*,*,#3844,.F.); #2835=ORIENTED_EDGE('',*,*,#3845,.T.); #2836=ORIENTED_EDGE('',*,*,#3846,.T.); #2837=ORIENTED_EDGE('',*,*,#3725,.F.); #2838=ORIENTED_EDGE('',*,*,#3846,.F.); #2839=ORIENTED_EDGE('',*,*,#3847,.T.); #2840=ORIENTED_EDGE('',*,*,#3848,.T.); #2841=ORIENTED_EDGE('',*,*,#3726,.F.); #2842=ORIENTED_EDGE('',*,*,#3848,.F.); #2843=ORIENTED_EDGE('',*,*,#3849,.T.); #2844=ORIENTED_EDGE('',*,*,#3850,.T.); #2845=ORIENTED_EDGE('',*,*,#3727,.F.); #2846=ORIENTED_EDGE('',*,*,#3850,.F.); #2847=ORIENTED_EDGE('',*,*,#3851,.T.); #2848=ORIENTED_EDGE('',*,*,#3852,.T.); #2849=ORIENTED_EDGE('',*,*,#3728,.F.); #2850=ORIENTED_EDGE('',*,*,#3852,.F.); #2851=ORIENTED_EDGE('',*,*,#3853,.T.); #2852=ORIENTED_EDGE('',*,*,#3840,.T.); #2853=ORIENTED_EDGE('',*,*,#3841,.F.); #2854=ORIENTED_EDGE('',*,*,#3853,.F.); #2855=ORIENTED_EDGE('',*,*,#3851,.F.); #2856=ORIENTED_EDGE('',*,*,#3849,.F.); #2857=ORIENTED_EDGE('',*,*,#3847,.F.); #2858=ORIENTED_EDGE('',*,*,#3845,.F.); #2859=ORIENTED_EDGE('',*,*,#3843,.F.); #2860=ORIENTED_EDGE('',*,*,#3713,.F.); #2861=ORIENTED_EDGE('',*,*,#3854,.F.); #2862=ORIENTED_EDGE('',*,*,#3855,.T.); #2863=ORIENTED_EDGE('',*,*,#3856,.T.); #2864=ORIENTED_EDGE('',*,*,#3714,.F.); #2865=ORIENTED_EDGE('',*,*,#3856,.F.); #2866=ORIENTED_EDGE('',*,*,#3857,.T.); #2867=ORIENTED_EDGE('',*,*,#3858,.T.); #2868=ORIENTED_EDGE('',*,*,#3715,.F.); #2869=ORIENTED_EDGE('',*,*,#3858,.F.); #2870=ORIENTED_EDGE('',*,*,#3859,.T.); #2871=ORIENTED_EDGE('',*,*,#3860,.T.); #2872=ORIENTED_EDGE('',*,*,#3716,.F.); #2873=ORIENTED_EDGE('',*,*,#3860,.F.); #2874=ORIENTED_EDGE('',*,*,#3861,.T.); #2875=ORIENTED_EDGE('',*,*,#3862,.T.); #2876=ORIENTED_EDGE('',*,*,#3717,.F.); #2877=ORIENTED_EDGE('',*,*,#3862,.F.); #2878=ORIENTED_EDGE('',*,*,#3863,.T.); #2879=ORIENTED_EDGE('',*,*,#3864,.T.); #2880=ORIENTED_EDGE('',*,*,#3718,.F.); #2881=ORIENTED_EDGE('',*,*,#3864,.F.); #2882=ORIENTED_EDGE('',*,*,#3865,.T.); #2883=ORIENTED_EDGE('',*,*,#3866,.T.); #2884=ORIENTED_EDGE('',*,*,#3719,.F.); #2885=ORIENTED_EDGE('',*,*,#3866,.F.); #2886=ORIENTED_EDGE('',*,*,#3867,.T.); #2887=ORIENTED_EDGE('',*,*,#3868,.T.); #2888=ORIENTED_EDGE('',*,*,#3720,.F.); #2889=ORIENTED_EDGE('',*,*,#3868,.F.); #2890=ORIENTED_EDGE('',*,*,#3869,.T.); #2891=ORIENTED_EDGE('',*,*,#3870,.T.); #2892=ORIENTED_EDGE('',*,*,#3721,.T.); #2893=ORIENTED_EDGE('',*,*,#3870,.F.); #2894=ORIENTED_EDGE('',*,*,#3871,.F.); #2895=ORIENTED_EDGE('',*,*,#3854,.T.); #2896=ORIENTED_EDGE('',*,*,#3855,.F.); #2897=ORIENTED_EDGE('',*,*,#3871,.T.); #2898=ORIENTED_EDGE('',*,*,#3869,.F.); #2899=ORIENTED_EDGE('',*,*,#3867,.F.); #2900=ORIENTED_EDGE('',*,*,#3865,.F.); #2901=ORIENTED_EDGE('',*,*,#3863,.F.); #2902=ORIENTED_EDGE('',*,*,#3861,.F.); #2903=ORIENTED_EDGE('',*,*,#3859,.F.); #2904=ORIENTED_EDGE('',*,*,#3857,.F.); #2905=ORIENTED_EDGE('',*,*,#3872,.T.); #2906=ORIENTED_EDGE('',*,*,#3873,.T.); #2907=ORIENTED_EDGE('',*,*,#3872,.F.); #2908=ORIENTED_EDGE('',*,*,#3874,.T.); #2909=ORIENTED_EDGE('',*,*,#3873,.F.); #2910=ORIENTED_EDGE('',*,*,#3875,.T.); #2911=ORIENTED_EDGE('',*,*,#3874,.F.); #2912=ORIENTED_EDGE('',*,*,#3876,.T.); #2913=ORIENTED_EDGE('',*,*,#3875,.F.); #2914=ORIENTED_EDGE('',*,*,#3877,.T.); #2915=ORIENTED_EDGE('',*,*,#3876,.F.); #2916=ORIENTED_EDGE('',*,*,#3877,.F.); #2917=ORIENTED_EDGE('',*,*,#3878,.T.); #2918=ORIENTED_EDGE('',*,*,#3068,.T.); #2919=ORIENTED_EDGE('',*,*,#3878,.F.); #2920=ORIENTED_EDGE('',*,*,#3879,.T.); #2921=ORIENTED_EDGE('',*,*,#3067,.F.); #2922=ORIENTED_EDGE('',*,*,#3879,.F.); #2923=ORIENTED_EDGE('',*,*,#3880,.T.); #2924=ORIENTED_EDGE('',*,*,#3881,.T.); #2925=ORIENTED_EDGE('',*,*,#3880,.F.); #2926=ORIENTED_EDGE('',*,*,#3882,.T.); #2927=ORIENTED_EDGE('',*,*,#3881,.F.); #2928=ORIENTED_EDGE('',*,*,#3883,.T.); #2929=ORIENTED_EDGE('',*,*,#3882,.F.); #2930=ORIENTED_EDGE('',*,*,#3884,.T.); #2931=ORIENTED_EDGE('',*,*,#3883,.F.); #2932=ORIENTED_EDGE('',*,*,#3885,.T.); #2933=ORIENTED_EDGE('',*,*,#3884,.F.); #2934=ORIENTED_EDGE('',*,*,#3885,.F.); #2935=ORIENTED_EDGE('',*,*,#3578,.T.); #2936=ORIENTED_EDGE('',*,*,#3886,.F.); #2937=ORIENTED_EDGE('',*,*,#3887,.T.); #2938=ORIENTED_EDGE('',*,*,#3888,.T.); #2939=ORIENTED_EDGE('',*,*,#3887,.F.); #2940=ORIENTED_EDGE('',*,*,#3889,.T.); #2941=ORIENTED_EDGE('',*,*,#3888,.F.); #2942=ORIENTED_EDGE('',*,*,#3890,.T.); #2943=ORIENTED_EDGE('',*,*,#3889,.F.); #2944=ORIENTED_EDGE('',*,*,#3891,.T.); #2945=ORIENTED_EDGE('',*,*,#3890,.F.); #2946=ORIENTED_EDGE('',*,*,#3892,.T.); #2947=ORIENTED_EDGE('',*,*,#3891,.F.); #2948=ORIENTED_EDGE('',*,*,#3892,.F.); #2949=ORIENTED_EDGE('',*,*,#3893,.T.); #2950=ORIENTED_EDGE('',*,*,#3886,.T.); #2951=ORIENTED_EDGE('',*,*,#3077,.T.); #2952=ORIENTED_EDGE('',*,*,#3893,.F.); #2953=ORIENTED_EDGE('',*,*,#3579,.T.); #2954=ORIENTED_EDGE('',*,*,#3894,.T.); #2955=ORIENTED_EDGE('',*,*,#3895,.T.); #2956=ORIENTED_EDGE('',*,*,#3894,.F.); #2957=ORIENTED_EDGE('',*,*,#3075,.F.); #2958=ORIENTED_EDGE('',*,*,#3895,.F.); #2959=ORIENTED_EDGE('',*,*,#3896,.T.); #2960=ORIENTED_EDGE('',*,*,#3897,.T.); #2961=ORIENTED_EDGE('',*,*,#3896,.F.); #2962=ORIENTED_EDGE('',*,*,#3898,.T.); #2963=ORIENTED_EDGE('',*,*,#3897,.F.); #2964=ORIENTED_EDGE('',*,*,#3899,.T.); #2965=ORIENTED_EDGE('',*,*,#3898,.F.); #2966=ORIENTED_EDGE('',*,*,#3900,.T.); #2967=ORIENTED_EDGE('',*,*,#3899,.F.); #2968=ORIENTED_EDGE('',*,*,#3901,.T.); #2969=ORIENTED_EDGE('',*,*,#3900,.F.); #2970=ORIENTED_EDGE('',*,*,#3901,.F.); #2971=ORIENTED_EDGE('',*,*,#3052,.T.); #2972=ORIENTED_EDGE('',*,*,#3902,.F.); #2973=ORIENTED_EDGE('',*,*,#3903,.F.); #2974=ORIENTED_EDGE('',*,*,#3904,.T.); #2975=ORIENTED_EDGE('',*,*,#3051,.T.); #2976=ORIENTED_EDGE('',*,*,#3905,.F.); #2977=ORIENTED_EDGE('',*,*,#3906,.F.); #2978=ORIENTED_EDGE('',*,*,#3902,.T.); #2979=ORIENTED_EDGE('',*,*,#3066,.T.); #2980=ORIENTED_EDGE('',*,*,#3907,.F.); #2981=ORIENTED_EDGE('',*,*,#3908,.F.); #2982=ORIENTED_EDGE('',*,*,#3905,.T.); #2983=ORIENTED_EDGE('',*,*,#3065,.T.); #2984=ORIENTED_EDGE('',*,*,#3909,.F.); #2985=ORIENTED_EDGE('',*,*,#3910,.F.); #2986=ORIENTED_EDGE('',*,*,#3907,.T.); #2987=ORIENTED_EDGE('',*,*,#3064,.T.); #2988=ORIENTED_EDGE('',*,*,#3911,.F.); #2989=ORIENTED_EDGE('',*,*,#3912,.F.); #2990=ORIENTED_EDGE('',*,*,#3909,.T.); #2991=ORIENTED_EDGE('',*,*,#3063,.F.); #2992=ORIENTED_EDGE('',*,*,#3913,.F.); #2993=ORIENTED_EDGE('',*,*,#3914,.T.); #2994=ORIENTED_EDGE('',*,*,#3911,.T.); #2995=ORIENTED_EDGE('',*,*,#3062,.T.); #2996=ORIENTED_EDGE('',*,*,#3915,.F.); #2997=ORIENTED_EDGE('',*,*,#3916,.F.); #2998=ORIENTED_EDGE('',*,*,#3913,.T.); #2999=ORIENTED_EDGE('',*,*,#3061,.F.); #3000=ORIENTED_EDGE('',*,*,#3917,.F.); #3001=ORIENTED_EDGE('',*,*,#3918,.T.); #3002=ORIENTED_EDGE('',*,*,#3915,.T.); #3003=ORIENTED_EDGE('',*,*,#3060,.T.); #3004=ORIENTED_EDGE('',*,*,#3919,.F.); #3005=ORIENTED_EDGE('',*,*,#3920,.F.); #3006=ORIENTED_EDGE('',*,*,#3917,.T.); #3007=ORIENTED_EDGE('',*,*,#3059,.T.); #3008=ORIENTED_EDGE('',*,*,#3921,.F.); #3009=ORIENTED_EDGE('',*,*,#3922,.F.); #3010=ORIENTED_EDGE('',*,*,#3919,.T.); #3011=ORIENTED_EDGE('',*,*,#3058,.T.); #3012=ORIENTED_EDGE('',*,*,#3923,.F.); #3013=ORIENTED_EDGE('',*,*,#3924,.F.); #3014=ORIENTED_EDGE('',*,*,#3921,.T.); #3015=ORIENTED_EDGE('',*,*,#3057,.T.); #3016=ORIENTED_EDGE('',*,*,#3925,.F.); #3017=ORIENTED_EDGE('',*,*,#3926,.F.); #3018=ORIENTED_EDGE('',*,*,#3923,.T.); #3019=ORIENTED_EDGE('',*,*,#3056,.T.); #3020=ORIENTED_EDGE('',*,*,#3927,.F.); #3021=ORIENTED_EDGE('',*,*,#3928,.F.); #3022=ORIENTED_EDGE('',*,*,#3925,.T.); #3023=ORIENTED_EDGE('',*,*,#3055,.F.); #3024=ORIENTED_EDGE('',*,*,#3929,.F.); #3025=ORIENTED_EDGE('',*,*,#3930,.T.); #3026=ORIENTED_EDGE('',*,*,#3927,.T.); #3027=ORIENTED_EDGE('',*,*,#3054,.T.); #3028=ORIENTED_EDGE('',*,*,#3931,.F.); #3029=ORIENTED_EDGE('',*,*,#3932,.F.); #3030=ORIENTED_EDGE('',*,*,#3929,.T.); #3031=ORIENTED_EDGE('',*,*,#3053,.F.); #3032=ORIENTED_EDGE('',*,*,#3904,.F.); #3033=ORIENTED_EDGE('',*,*,#3933,.T.); #3034=ORIENTED_EDGE('',*,*,#3931,.T.); #3035=ORIENTED_EDGE('',*,*,#3903,.T.); #3036=ORIENTED_EDGE('',*,*,#3906,.T.); #3037=ORIENTED_EDGE('',*,*,#3908,.T.); #3038=ORIENTED_EDGE('',*,*,#3910,.T.); #3039=ORIENTED_EDGE('',*,*,#3912,.T.); #3040=ORIENTED_EDGE('',*,*,#3914,.F.); #3041=ORIENTED_EDGE('',*,*,#3916,.T.); #3042=ORIENTED_EDGE('',*,*,#3918,.F.); #3043=ORIENTED_EDGE('',*,*,#3920,.T.); #3044=ORIENTED_EDGE('',*,*,#3922,.T.); #3045=ORIENTED_EDGE('',*,*,#3924,.T.); #3046=ORIENTED_EDGE('',*,*,#3926,.T.); #3047=ORIENTED_EDGE('',*,*,#3928,.T.); #3048=ORIENTED_EDGE('',*,*,#3930,.F.); #3049=ORIENTED_EDGE('',*,*,#3932,.T.); #3050=ORIENTED_EDGE('',*,*,#3933,.F.); #3051=EDGE_CURVE('',#3934,#3935,#4545,.T.); #3052=EDGE_CURVE('',#3936,#3934,#301,.T.); #3053=EDGE_CURVE('',#3936,#3937,#4546,.T.); #3054=EDGE_CURVE('',#3938,#3937,#302,.T.); #3055=EDGE_CURVE('',#3938,#3939,#4547,.T.); #3056=EDGE_CURVE('',#3940,#3939,#303,.T.); #3057=EDGE_CURVE('',#3941,#3940,#4548,.T.); #3058=EDGE_CURVE('',#3942,#3941,#304,.T.); #3059=EDGE_CURVE('',#3943,#3942,#4549,.T.); #3060=EDGE_CURVE('',#3944,#3943,#305,.T.); #3061=EDGE_CURVE('',#3944,#3945,#4550,.T.); #3062=EDGE_CURVE('',#3946,#3945,#306,.T.); #3063=EDGE_CURVE('',#3946,#3947,#4551,.T.); #3064=EDGE_CURVE('',#3948,#3947,#307,.T.); #3065=EDGE_CURVE('',#3949,#3948,#4552,.T.); #3066=EDGE_CURVE('',#3935,#3949,#308,.T.); #3067=EDGE_CURVE('',#3950,#3950,#4553,.T.); #3068=EDGE_CURVE('',#3951,#3951,#4554,.T.); #3069=EDGE_CURVE('',#3952,#3953,#309,.T.); #3070=EDGE_CURVE('',#3954,#3952,#310,.T.); #3071=EDGE_CURVE('',#3955,#3954,#4555,.T.); #3072=EDGE_CURVE('',#3956,#3955,#4556,.T.); #3073=EDGE_CURVE('',#3953,#3956,#311,.T.); #3074=EDGE_CURVE('',#3957,#3958,#4557,.T.); #3075=EDGE_CURVE('',#3958,#3959,#4558,.T.); #3076=EDGE_CURVE('',#3959,#3960,#4559,.T.); #3077=EDGE_CURVE('',#3957,#3960,#4560,.T.); #3078=EDGE_CURVE('',#3961,#3962,#312,.T.); #3079=EDGE_CURVE('',#3963,#3961,#313,.T.); #3080=EDGE_CURVE('',#3964,#3963,#314,.T.); #3081=EDGE_CURVE('',#3965,#3964,#315,.T.); #3082=EDGE_CURVE('',#3966,#3965,#316,.T.); #3083=EDGE_CURVE('',#3967,#3966,#317,.T.); #3084=EDGE_CURVE('',#3968,#3967,#318,.T.); #3085=EDGE_CURVE('',#3962,#3968,#319,.T.); #3086=EDGE_CURVE('',#3969,#3970,#320,.T.); #3087=EDGE_CURVE('',#3971,#3969,#321,.T.); #3088=EDGE_CURVE('',#3972,#3971,#322,.T.); #3089=EDGE_CURVE('',#3973,#3972,#323,.T.); #3090=EDGE_CURVE('',#3974,#3973,#324,.T.); #3091=EDGE_CURVE('',#3975,#3974,#325,.T.); #3092=EDGE_CURVE('',#3970,#3975,#326,.T.); #3093=EDGE_CURVE('',#3976,#3977,#327,.T.); #3094=EDGE_CURVE('',#3978,#3976,#4561,.T.); #3095=EDGE_CURVE('',#3979,#3978,#328,.T.); #3096=EDGE_CURVE('',#3980,#3979,#329,.T.); #3097=EDGE_CURVE('',#3981,#3980,#330,.T.); #3098=EDGE_CURVE('',#3982,#3981,#331,.T.); #3099=EDGE_CURVE('',#3983,#3982,#332,.T.); #3100=EDGE_CURVE('',#3984,#3983,#333,.T.); #3101=EDGE_CURVE('',#3984,#3977,#4562,.T.); #3102=EDGE_CURVE('',#3985,#3986,#334,.T.); #3103=EDGE_CURVE('',#3985,#3987,#4563,.T.); #3104=EDGE_CURVE('',#3988,#3987,#335,.T.); #3105=EDGE_CURVE('',#3986,#3988,#4564,.T.); #3106=EDGE_CURVE('',#3989,#3990,#336,.T.); #3107=EDGE_CURVE('',#3989,#3991,#4565,.T.); #3108=EDGE_CURVE('',#3992,#3991,#337,.T.); #3109=EDGE_CURVE('',#3990,#3992,#4566,.T.); #3110=EDGE_CURVE('',#3993,#3994,#338,.T.); #3111=EDGE_CURVE('',#3993,#3995,#4567,.T.); #3112=EDGE_CURVE('',#3996,#3995,#339,.T.); #3113=EDGE_CURVE('',#3994,#3996,#4568,.T.); #3114=EDGE_CURVE('',#3997,#3998,#340,.T.); #3115=EDGE_CURVE('',#3997,#3999,#4569,.T.); #3116=EDGE_CURVE('',#4000,#3999,#341,.T.); #3117=EDGE_CURVE('',#3998,#4000,#4570,.T.); #3118=EDGE_CURVE('',#4001,#4002,#188,.T.); #3119=EDGE_CURVE('',#4001,#4003,#189,.T.); #3120=EDGE_CURVE('',#4003,#4004,#4571,.T.); #3121=EDGE_CURVE('',#4004,#4005,#190,.T.); #3122=EDGE_CURVE('',#4006,#4005,#191,.T.); #3123=EDGE_CURVE('',#4002,#4006,#4572,.T.); #3124=EDGE_CURVE('',#4007,#4008,#4573,.T.); #3125=EDGE_CURVE('',#4008,#4009,#192,.T.); #3126=EDGE_CURVE('',#4010,#4009,#193,.T.); #3127=EDGE_CURVE('',#4011,#4010,#4574,.T.); #3128=EDGE_CURVE('',#4012,#4011,#194,.T.); #3129=EDGE_CURVE('',#4012,#4007,#195,.T.); #3130=EDGE_CURVE('',#4013,#4014,#4575,.T.); #3131=EDGE_CURVE('',#4014,#4015,#196,.T.); #3132=EDGE_CURVE('',#4016,#4015,#197,.T.); #3133=EDGE_CURVE('',#4017,#4016,#4576,.T.); #3134=EDGE_CURVE('',#4018,#4017,#198,.T.); #3135=EDGE_CURVE('',#4018,#4013,#199,.T.); #3136=EDGE_CURVE('',#4019,#4020,#4577,.T.); #3137=EDGE_CURVE('',#4020,#4021,#200,.T.); #3138=EDGE_CURVE('',#4022,#4021,#201,.T.); #3139=EDGE_CURVE('',#4023,#4022,#4578,.T.); #3140=EDGE_CURVE('',#4024,#4023,#202,.T.); #3141=EDGE_CURVE('',#4024,#4019,#203,.T.); #3142=EDGE_CURVE('',#4025,#4026,#4579,.T.); #3143=EDGE_CURVE('',#4026,#4027,#204,.T.); #3144=EDGE_CURVE('',#4028,#4027,#205,.T.); #3145=EDGE_CURVE('',#4029,#4028,#4580,.T.); #3146=EDGE_CURVE('',#4030,#4029,#206,.T.); #3147=EDGE_CURVE('',#4030,#4025,#207,.T.); #3148=EDGE_CURVE('',#4031,#4032,#4581,.T.); #3149=EDGE_CURVE('',#4032,#4033,#208,.T.); #3150=EDGE_CURVE('',#4034,#4033,#209,.T.); #3151=EDGE_CURVE('',#4035,#4034,#4582,.T.); #3152=EDGE_CURVE('',#4036,#4035,#210,.T.); #3153=EDGE_CURVE('',#4036,#4031,#211,.T.); #3154=EDGE_CURVE('',#4037,#4038,#4583,.T.); #3155=EDGE_CURVE('',#4038,#4039,#212,.T.); #3156=EDGE_CURVE('',#4040,#4039,#213,.T.); #3157=EDGE_CURVE('',#4041,#4040,#4584,.T.); #3158=EDGE_CURVE('',#4042,#4041,#214,.T.); #3159=EDGE_CURVE('',#4042,#4037,#215,.T.); #3160=EDGE_CURVE('',#4043,#4044,#4585,.T.); #3161=EDGE_CURVE('',#4044,#4045,#216,.T.); #3162=EDGE_CURVE('',#4046,#4045,#217,.T.); #3163=EDGE_CURVE('',#4047,#4046,#4586,.T.); #3164=EDGE_CURVE('',#4048,#4047,#218,.T.); #3165=EDGE_CURVE('',#4048,#4043,#219,.T.); #3166=EDGE_CURVE('',#4049,#4050,#4587,.T.); #3167=EDGE_CURVE('',#4050,#4051,#220,.T.); #3168=EDGE_CURVE('',#4052,#4051,#221,.T.); #3169=EDGE_CURVE('',#4053,#4052,#4588,.T.); #3170=EDGE_CURVE('',#4054,#4053,#222,.T.); #3171=EDGE_CURVE('',#4054,#4049,#223,.T.); #3172=EDGE_CURVE('',#4055,#4056,#4589,.T.); #3173=EDGE_CURVE('',#4056,#4057,#224,.T.); #3174=EDGE_CURVE('',#4058,#4057,#225,.T.); #3175=EDGE_CURVE('',#4059,#4058,#4590,.T.); #3176=EDGE_CURVE('',#4060,#4059,#226,.T.); #3177=EDGE_CURVE('',#4060,#4055,#227,.T.); #3178=EDGE_CURVE('',#4002,#4061,#342,.T.); #3179=EDGE_CURVE('',#4006,#4062,#343,.T.); #3180=EDGE_CURVE('',#4061,#4062,#4591,.T.); #3181=EDGE_CURVE('',#4010,#4063,#344,.T.); #3182=EDGE_CURVE('',#4064,#4063,#4592,.T.); #3183=EDGE_CURVE('',#4011,#4064,#345,.T.); #3184=EDGE_CURVE('',#4016,#4065,#346,.T.); #3185=EDGE_CURVE('',#4066,#4065,#4593,.T.); #3186=EDGE_CURVE('',#4017,#4066,#347,.T.); #3187=EDGE_CURVE('',#4022,#4067,#348,.T.); #3188=EDGE_CURVE('',#4068,#4067,#4594,.T.); #3189=EDGE_CURVE('',#4023,#4068,#349,.T.); #3190=EDGE_CURVE('',#4028,#4069,#350,.T.); #3191=EDGE_CURVE('',#4070,#4069,#4595,.T.); #3192=EDGE_CURVE('',#4029,#4070,#351,.T.); #3193=EDGE_CURVE('',#4034,#4071,#352,.T.); #3194=EDGE_CURVE('',#4072,#4071,#4596,.T.); #3195=EDGE_CURVE('',#4035,#4072,#353,.T.); #3196=EDGE_CURVE('',#4040,#4073,#354,.T.); #3197=EDGE_CURVE('',#4074,#4073,#4597,.T.); #3198=EDGE_CURVE('',#4041,#4074,#355,.T.); #3199=EDGE_CURVE('',#4046,#4075,#356,.T.); #3200=EDGE_CURVE('',#4076,#4075,#4598,.T.); #3201=EDGE_CURVE('',#4047,#4076,#357,.T.); #3202=EDGE_CURVE('',#4052,#4077,#358,.T.); #3203=EDGE_CURVE('',#4078,#4077,#4599,.T.); #3204=EDGE_CURVE('',#4053,#4078,#359,.T.); #3205=EDGE_CURVE('',#4058,#4079,#360,.T.); #3206=EDGE_CURVE('',#4080,#4079,#4600,.T.); #3207=EDGE_CURVE('',#4059,#4080,#361,.T.); #3208=EDGE_CURVE('',#4081,#4081,#4601,.T.); #3209=EDGE_CURVE('',#4061,#4082,#228,.T.); #3210=EDGE_CURVE('',#4083,#4062,#229,.T.); #3211=EDGE_CURVE('',#4083,#4084,#230,.T.); #3212=EDGE_CURVE('',#4085,#4084,#4602,.T.); #3213=EDGE_CURVE('',#4085,#4086,#231,.T.); #3214=EDGE_CURVE('',#4080,#4086,#232,.T.); #3215=EDGE_CURVE('',#4087,#4079,#233,.T.); #3216=EDGE_CURVE('',#4087,#4088,#234,.T.); #3217=EDGE_CURVE('',#4089,#4088,#4603,.T.); #3218=EDGE_CURVE('',#4089,#4090,#235,.T.); #3219=EDGE_CURVE('',#4078,#4090,#236,.T.); #3220=EDGE_CURVE('',#4091,#4077,#237,.T.); #3221=EDGE_CURVE('',#4091,#4092,#238,.T.); #3222=EDGE_CURVE('',#4093,#4092,#4604,.T.); #3223=EDGE_CURVE('',#4093,#4094,#239,.T.); #3224=EDGE_CURVE('',#4076,#4094,#240,.T.); #3225=EDGE_CURVE('',#4095,#4075,#241,.T.); #3226=EDGE_CURVE('',#4095,#4096,#242,.T.); #3227=EDGE_CURVE('',#4097,#4096,#4605,.T.); #3228=EDGE_CURVE('',#4097,#4098,#243,.T.); #3229=EDGE_CURVE('',#4074,#4098,#244,.T.); #3230=EDGE_CURVE('',#4099,#4073,#245,.T.); #3231=EDGE_CURVE('',#4099,#4100,#246,.T.); #3232=EDGE_CURVE('',#4101,#4100,#4606,.T.); #3233=EDGE_CURVE('',#4101,#4102,#247,.T.); #3234=EDGE_CURVE('',#4072,#4102,#248,.T.); #3235=EDGE_CURVE('',#4103,#4071,#249,.T.); #3236=EDGE_CURVE('',#4103,#4104,#250,.T.); #3237=EDGE_CURVE('',#4105,#4104,#4607,.T.); #3238=EDGE_CURVE('',#4105,#4106,#251,.T.); #3239=EDGE_CURVE('',#4070,#4106,#252,.T.); #3240=EDGE_CURVE('',#4107,#4069,#253,.T.); #3241=EDGE_CURVE('',#4107,#4108,#254,.T.); #3242=EDGE_CURVE('',#4109,#4108,#4608,.T.); #3243=EDGE_CURVE('',#4109,#4110,#255,.T.); #3244=EDGE_CURVE('',#4068,#4110,#256,.T.); #3245=EDGE_CURVE('',#4111,#4067,#257,.T.); #3246=EDGE_CURVE('',#4111,#4112,#258,.T.); #3247=EDGE_CURVE('',#4113,#4112,#4609,.T.); #3248=EDGE_CURVE('',#4113,#4114,#259,.T.); #3249=EDGE_CURVE('',#4066,#4114,#260,.T.); #3250=EDGE_CURVE('',#4115,#4065,#261,.T.); #3251=EDGE_CURVE('',#4115,#4116,#262,.T.); #3252=EDGE_CURVE('',#4117,#4116,#4610,.T.); #3253=EDGE_CURVE('',#4117,#4118,#263,.T.); #3254=EDGE_CURVE('',#4064,#4118,#264,.T.); #3255=EDGE_CURVE('',#4119,#4063,#265,.T.); #3256=EDGE_CURVE('',#4119,#4120,#266,.T.); #3257=EDGE_CURVE('',#4121,#4120,#4611,.T.); #3258=EDGE_CURVE('',#4121,#4082,#267,.T.); #3259=EDGE_CURVE('',#4122,#4122,#4612,.T.); #3260=EDGE_CURVE('',#4123,#4124,#4613,.T.); #3261=EDGE_CURVE('',#4124,#4125,#4614,.T.); #3262=EDGE_CURVE('',#4125,#4126,#4615,.T.); #3263=EDGE_CURVE('',#4126,#4127,#362,.T.); #3264=EDGE_CURVE('',#4128,#4127,#4616,.T.); #3265=EDGE_CURVE('',#4128,#4129,#4617,.T.); #3266=EDGE_CURVE('',#4130,#4129,#4618,.T.); #3267=EDGE_CURVE('',#4130,#4131,#363,.T.); #3268=EDGE_CURVE('',#4131,#4132,#4619,.T.); #3269=EDGE_CURVE('',#4132,#4133,#4620,.T.); #3270=EDGE_CURVE('',#4133,#4134,#4621,.T.); #3271=EDGE_CURVE('',#4134,#4135,#364,.T.); #3272=EDGE_CURVE('',#4136,#4135,#4622,.T.); #3273=EDGE_CURVE('',#4136,#4137,#4623,.T.); #3274=EDGE_CURVE('',#4138,#4137,#4624,.T.); #3275=EDGE_CURVE('',#4138,#4139,#365,.T.); #3276=EDGE_CURVE('',#4139,#4140,#4625,.T.); #3277=EDGE_CURVE('',#4140,#4141,#4626,.T.); #3278=EDGE_CURVE('',#4141,#4142,#4627,.T.); #3279=EDGE_CURVE('',#4142,#4143,#366,.T.); #3280=EDGE_CURVE('',#4144,#4143,#4628,.T.); #3281=EDGE_CURVE('',#4144,#4145,#4629,.T.); #3282=EDGE_CURVE('',#4146,#4145,#4630,.T.); #3283=EDGE_CURVE('',#4146,#4147,#367,.T.); #3284=EDGE_CURVE('',#4147,#4148,#4631,.T.); #3285=EDGE_CURVE('',#4148,#4149,#4632,.T.); #3286=EDGE_CURVE('',#4149,#4150,#4633,.T.); #3287=EDGE_CURVE('',#4150,#4151,#368,.T.); #3288=EDGE_CURVE('',#4152,#4151,#4634,.T.); #3289=EDGE_CURVE('',#4152,#4153,#4635,.T.); #3290=EDGE_CURVE('',#4154,#4153,#4636,.T.); #3291=EDGE_CURVE('',#4154,#4155,#369,.T.); #3292=EDGE_CURVE('',#4155,#4156,#4637,.T.); #3293=EDGE_CURVE('',#4156,#4157,#4638,.T.); #3294=EDGE_CURVE('',#4157,#4158,#4639,.T.); #3295=EDGE_CURVE('',#4158,#4159,#370,.T.); #3296=EDGE_CURVE('',#4160,#4159,#4640,.T.); #3297=EDGE_CURVE('',#4160,#4161,#4641,.T.); #3298=EDGE_CURVE('',#4162,#4161,#4642,.T.); #3299=EDGE_CURVE('',#4162,#4163,#371,.T.); #3300=EDGE_CURVE('',#4163,#4164,#4643,.T.); #3301=EDGE_CURVE('',#4164,#4165,#4644,.T.); #3302=EDGE_CURVE('',#4165,#4166,#4645,.T.); #3303=EDGE_CURVE('',#4166,#4167,#372,.T.); #3304=EDGE_CURVE('',#4168,#4167,#4646,.T.); #3305=EDGE_CURVE('',#4168,#4169,#4647,.T.); #3306=EDGE_CURVE('',#4170,#4169,#4648,.T.); #3307=EDGE_CURVE('',#4170,#4171,#373,.T.); #3308=EDGE_CURVE('',#4171,#4172,#4649,.T.); #3309=EDGE_CURVE('',#4172,#4173,#4650,.T.); #3310=EDGE_CURVE('',#4173,#4174,#4651,.T.); #3311=EDGE_CURVE('',#4174,#4175,#374,.T.); #3312=EDGE_CURVE('',#4176,#4175,#4652,.T.); #3313=EDGE_CURVE('',#4176,#4177,#4653,.T.); #3314=EDGE_CURVE('',#4178,#4177,#4654,.T.); #3315=EDGE_CURVE('',#4178,#4179,#375,.T.); #3316=EDGE_CURVE('',#4179,#4180,#4655,.T.); #3317=EDGE_CURVE('',#4180,#4181,#4656,.T.); #3318=EDGE_CURVE('',#4181,#4182,#4657,.T.); #3319=EDGE_CURVE('',#4182,#4183,#376,.T.); #3320=EDGE_CURVE('',#4184,#4183,#4658,.T.); #3321=EDGE_CURVE('',#4184,#4185,#4659,.T.); #3322=EDGE_CURVE('',#4186,#4185,#4660,.T.); #3323=EDGE_CURVE('',#4186,#4187,#377,.T.); #3324=EDGE_CURVE('',#4187,#4188,#4661,.T.); #3325=EDGE_CURVE('',#4188,#4189,#4662,.T.); #3326=EDGE_CURVE('',#4189,#4190,#4663,.T.); #3327=EDGE_CURVE('',#4190,#4191,#378,.T.); #3328=EDGE_CURVE('',#4192,#4191,#4664,.T.); #3329=EDGE_CURVE('',#4192,#4193,#4665,.T.); #3330=EDGE_CURVE('',#4194,#4193,#4666,.T.); #3331=EDGE_CURVE('',#4194,#4195,#379,.T.); #3332=EDGE_CURVE('',#4195,#4196,#4667,.T.); #3333=EDGE_CURVE('',#4196,#4197,#4668,.T.); #3334=EDGE_CURVE('',#4197,#4198,#4669,.T.); #3335=EDGE_CURVE('',#4198,#4199,#380,.T.); #3336=EDGE_CURVE('',#4200,#4199,#4670,.T.); #3337=EDGE_CURVE('',#4200,#4201,#4671,.T.); #3338=EDGE_CURVE('',#4202,#4201,#4672,.T.); #3339=EDGE_CURVE('',#4202,#4123,#381,.T.); #3340=EDGE_CURVE('',#4203,#4203,#4673,.T.); #3341=EDGE_CURVE('',#4204,#4205,#382,.T.); #3342=EDGE_CURVE('',#4205,#4206,#4674,.T.); #3343=EDGE_CURVE('',#4206,#4207,#383,.T.); #3344=EDGE_CURVE('',#4207,#4208,#4675,.T.); #3345=EDGE_CURVE('',#4208,#4209,#384,.T.); #3346=EDGE_CURVE('',#4209,#4210,#4676,.T.); #3347=EDGE_CURVE('',#4210,#4211,#385,.T.); #3348=EDGE_CURVE('',#4211,#4212,#4677,.T.); #3349=EDGE_CURVE('',#4212,#4213,#386,.T.); #3350=EDGE_CURVE('',#4213,#4214,#4678,.T.); #3351=EDGE_CURVE('',#4214,#4215,#387,.T.); #3352=EDGE_CURVE('',#4215,#4216,#4679,.T.); #3353=EDGE_CURVE('',#4216,#4204,#268,.T.); #3354=EDGE_CURVE('',#4217,#4217,#4680,.T.); #3355=EDGE_CURVE('',#4218,#4188,#388,.T.); #3356=EDGE_CURVE('',#4219,#4218,#4681,.T.); #3357=EDGE_CURVE('',#4219,#4181,#389,.T.); #3358=EDGE_CURVE('',#4220,#4180,#390,.T.); #3359=EDGE_CURVE('',#4221,#4220,#4682,.T.); #3360=EDGE_CURVE('',#4221,#4173,#391,.T.); #3361=EDGE_CURVE('',#4222,#4172,#392,.T.); #3362=EDGE_CURVE('',#4223,#4222,#4683,.T.); #3363=EDGE_CURVE('',#4223,#4165,#393,.T.); #3364=EDGE_CURVE('',#4224,#4164,#394,.T.); #3365=EDGE_CURVE('',#4225,#4224,#4684,.T.); #3366=EDGE_CURVE('',#4225,#4157,#395,.T.); #3367=EDGE_CURVE('',#4226,#4156,#396,.T.); #3368=EDGE_CURVE('',#4227,#4226,#4685,.T.); #3369=EDGE_CURVE('',#4227,#4149,#397,.T.); #3370=EDGE_CURVE('',#4228,#4148,#398,.T.); #3371=EDGE_CURVE('',#4229,#4228,#4686,.T.); #3372=EDGE_CURVE('',#4229,#4141,#399,.T.); #3373=EDGE_CURVE('',#4230,#4140,#400,.T.); #3374=EDGE_CURVE('',#4231,#4230,#4687,.T.); #3375=EDGE_CURVE('',#4231,#4133,#401,.T.); #3376=EDGE_CURVE('',#4232,#4132,#402,.T.); #3377=EDGE_CURVE('',#4233,#4232,#4688,.T.); #3378=EDGE_CURVE('',#4233,#4125,#403,.T.); #3379=EDGE_CURVE('',#4234,#4124,#404,.T.); #3380=EDGE_CURVE('',#4235,#4234,#4689,.T.); #3381=EDGE_CURVE('',#4235,#4197,#405,.T.); #3382=EDGE_CURVE('',#4236,#4196,#406,.T.); #3383=EDGE_CURVE('',#4237,#4236,#4690,.T.); #3384=EDGE_CURVE('',#4237,#4189,#407,.T.); #3385=EDGE_CURVE('',#4238,#4238,#4691,.T.); #3386=EDGE_CURVE('',#4239,#4239,#4692,.T.); #3387=EDGE_CURVE('',#4240,#4112,#408,.T.); #3388=EDGE_CURVE('',#4020,#4240,#269,.F.); #3389=EDGE_CURVE('',#4241,#4019,#270,.F.); #3390=EDGE_CURVE('',#4241,#4109,#409,.T.); #3391=EDGE_CURVE('',#4242,#4108,#410,.T.); #3392=EDGE_CURVE('',#4026,#4242,#271,.F.); #3393=EDGE_CURVE('',#4243,#4025,#272,.F.); #3394=EDGE_CURVE('',#4243,#4105,#411,.T.); #3395=EDGE_CURVE('',#4244,#4104,#412,.T.); #3396=EDGE_CURVE('',#4032,#4244,#273,.F.); #3397=EDGE_CURVE('',#4245,#4031,#274,.F.); #3398=EDGE_CURVE('',#4245,#4101,#413,.T.); #3399=EDGE_CURVE('',#4246,#4100,#414,.T.); #3400=EDGE_CURVE('',#4038,#4246,#275,.F.); #3401=EDGE_CURVE('',#4247,#4037,#276,.F.); #3402=EDGE_CURVE('',#4247,#4097,#415,.T.); #3403=EDGE_CURVE('',#4248,#4096,#416,.T.); #3404=EDGE_CURVE('',#4044,#4248,#277,.F.); #3405=EDGE_CURVE('',#4249,#4043,#278,.F.); #3406=EDGE_CURVE('',#4249,#4093,#417,.T.); #3407=EDGE_CURVE('',#4250,#4092,#418,.T.); #3408=EDGE_CURVE('',#4050,#4250,#279,.F.); #3409=EDGE_CURVE('',#4251,#4049,#280,.F.); #3410=EDGE_CURVE('',#4251,#4089,#419,.T.); #3411=EDGE_CURVE('',#4252,#4088,#420,.T.); #3412=EDGE_CURVE('',#4056,#4252,#281,.F.); #3413=EDGE_CURVE('',#4253,#4055,#282,.F.); #3414=EDGE_CURVE('',#4253,#4085,#421,.T.); #3415=EDGE_CURVE('',#4254,#4084,#422,.T.); #3416=EDGE_CURVE('',#4004,#4254,#283,.F.); #3417=EDGE_CURVE('',#4255,#4003,#284,.F.); #3418=EDGE_CURVE('',#4255,#4121,#423,.T.); #3419=EDGE_CURVE('',#4256,#4120,#424,.T.); #3420=EDGE_CURVE('',#4008,#4256,#285,.F.); #3421=EDGE_CURVE('',#4257,#4007,#286,.F.); #3422=EDGE_CURVE('',#4257,#4117,#425,.T.); #3423=EDGE_CURVE('',#4258,#4116,#426,.T.); #3424=EDGE_CURVE('',#4014,#4258,#287,.F.); #3425=EDGE_CURVE('',#4259,#4013,#288,.F.); #3426=EDGE_CURVE('',#4259,#4113,#427,.T.); #3427=EDGE_CURVE('',#4260,#4260,#4693,.T.); #3428=EDGE_CURVE('',#4233,#4261,#4694,.T.); #3429=EDGE_CURVE('',#4261,#4126,#428,.T.); #3430=EDGE_CURVE('',#4261,#4262,#429,.T.); #3431=EDGE_CURVE('',#4262,#4127,#430,.T.); #3432=EDGE_CURVE('',#4263,#4262,#4695,.T.); #3433=EDGE_CURVE('',#4263,#4128,#431,.T.); #3434=EDGE_CURVE('',#4263,#4264,#4696,.T.); #3435=EDGE_CURVE('',#4264,#4129,#432,.T.); #3436=EDGE_CURVE('',#4265,#4264,#4697,.T.); #3437=EDGE_CURVE('',#4265,#4130,#433,.T.); #3438=EDGE_CURVE('',#4266,#4131,#434,.T.); #3439=EDGE_CURVE('',#4266,#4232,#4698,.T.); #3440=EDGE_CURVE('',#4265,#4266,#435,.T.); #3441=EDGE_CURVE('',#4231,#4267,#4699,.T.); #3442=EDGE_CURVE('',#4267,#4134,#436,.T.); #3443=EDGE_CURVE('',#4267,#4268,#437,.T.); #3444=EDGE_CURVE('',#4268,#4135,#438,.T.); #3445=EDGE_CURVE('',#4269,#4268,#4700,.T.); #3446=EDGE_CURVE('',#4269,#4136,#439,.T.); #3447=EDGE_CURVE('',#4269,#4270,#4701,.T.); #3448=EDGE_CURVE('',#4270,#4137,#440,.T.); #3449=EDGE_CURVE('',#4271,#4270,#4702,.T.); #3450=EDGE_CURVE('',#4271,#4138,#441,.T.); #3451=EDGE_CURVE('',#4271,#4272,#442,.T.); #3452=EDGE_CURVE('',#4272,#4139,#443,.T.); #3453=EDGE_CURVE('',#4272,#4230,#4703,.T.); #3454=EDGE_CURVE('',#4229,#4273,#4704,.T.); #3455=EDGE_CURVE('',#4273,#4142,#444,.T.); #3456=EDGE_CURVE('',#4273,#4274,#445,.T.); #3457=EDGE_CURVE('',#4274,#4143,#446,.T.); #3458=EDGE_CURVE('',#4275,#4274,#4705,.T.); #3459=EDGE_CURVE('',#4275,#4144,#447,.T.); #3460=EDGE_CURVE('',#4275,#4276,#4706,.T.); #3461=EDGE_CURVE('',#4276,#4145,#448,.T.); #3462=EDGE_CURVE('',#4277,#4276,#4707,.T.); #3463=EDGE_CURVE('',#4277,#4146,#449,.T.); #3464=EDGE_CURVE('',#4277,#4278,#450,.T.); #3465=EDGE_CURVE('',#4278,#4147,#451,.T.); #3466=EDGE_CURVE('',#4278,#4228,#4708,.T.); #3467=EDGE_CURVE('',#4227,#4279,#4709,.T.); #3468=EDGE_CURVE('',#4279,#4150,#452,.T.); #3469=EDGE_CURVE('',#4279,#4280,#453,.T.); #3470=EDGE_CURVE('',#4280,#4151,#454,.T.); #3471=EDGE_CURVE('',#4281,#4280,#4710,.T.); #3472=EDGE_CURVE('',#4281,#4152,#455,.T.); #3473=EDGE_CURVE('',#4281,#4282,#4711,.T.); #3474=EDGE_CURVE('',#4282,#4153,#456,.T.); #3475=EDGE_CURVE('',#4283,#4282,#4712,.T.); #3476=EDGE_CURVE('',#4283,#4154,#457,.T.); #3477=EDGE_CURVE('',#4283,#4284,#458,.T.); #3478=EDGE_CURVE('',#4284,#4155,#459,.T.); #3479=EDGE_CURVE('',#4284,#4226,#4713,.T.); #3480=EDGE_CURVE('',#4225,#4285,#4714,.T.); #3481=EDGE_CURVE('',#4285,#4158,#460,.T.); #3482=EDGE_CURVE('',#4285,#4286,#461,.T.); #3483=EDGE_CURVE('',#4286,#4159,#462,.T.); #3484=EDGE_CURVE('',#4287,#4286,#4715,.T.); #3485=EDGE_CURVE('',#4287,#4160,#463,.T.); #3486=EDGE_CURVE('',#4287,#4288,#4716,.T.); #3487=EDGE_CURVE('',#4288,#4161,#464,.T.); #3488=EDGE_CURVE('',#4289,#4288,#4717,.T.); #3489=EDGE_CURVE('',#4289,#4162,#465,.T.); #3490=EDGE_CURVE('',#4289,#4290,#466,.T.); #3491=EDGE_CURVE('',#4290,#4163,#467,.T.); #3492=EDGE_CURVE('',#4290,#4224,#4718,.T.); #3493=EDGE_CURVE('',#4223,#4291,#4719,.T.); #3494=EDGE_CURVE('',#4291,#4166,#468,.T.); #3495=EDGE_CURVE('',#4291,#4292,#469,.T.); #3496=EDGE_CURVE('',#4292,#4167,#470,.T.); #3497=EDGE_CURVE('',#4293,#4292,#4720,.T.); #3498=EDGE_CURVE('',#4293,#4168,#471,.T.); #3499=EDGE_CURVE('',#4293,#4294,#4721,.T.); #3500=EDGE_CURVE('',#4294,#4169,#472,.T.); #3501=EDGE_CURVE('',#4295,#4294,#4722,.T.); #3502=EDGE_CURVE('',#4295,#4170,#473,.T.); #3503=EDGE_CURVE('',#4295,#4296,#474,.T.); #3504=EDGE_CURVE('',#4296,#4171,#475,.T.); #3505=EDGE_CURVE('',#4296,#4222,#4723,.T.); #3506=EDGE_CURVE('',#4221,#4297,#4724,.T.); #3507=EDGE_CURVE('',#4297,#4174,#476,.T.); #3508=EDGE_CURVE('',#4297,#4298,#477,.T.); #3509=EDGE_CURVE('',#4298,#4175,#478,.T.); #3510=EDGE_CURVE('',#4299,#4298,#4725,.T.); #3511=EDGE_CURVE('',#4299,#4176,#479,.T.); #3512=EDGE_CURVE('',#4299,#4300,#4726,.T.); #3513=EDGE_CURVE('',#4300,#4177,#480,.T.); #3514=EDGE_CURVE('',#4301,#4300,#4727,.T.); #3515=EDGE_CURVE('',#4301,#4178,#481,.T.); #3516=EDGE_CURVE('',#4301,#4302,#482,.T.); #3517=EDGE_CURVE('',#4302,#4179,#483,.T.); #3518=EDGE_CURVE('',#4302,#4220,#4728,.T.); #3519=EDGE_CURVE('',#4219,#4303,#4729,.T.); #3520=EDGE_CURVE('',#4303,#4182,#484,.T.); #3521=EDGE_CURVE('',#4303,#4304,#485,.T.); #3522=EDGE_CURVE('',#4304,#4183,#486,.T.); #3523=EDGE_CURVE('',#4305,#4304,#4730,.T.); #3524=EDGE_CURVE('',#4305,#4184,#487,.T.); #3525=EDGE_CURVE('',#4305,#4306,#4731,.T.); #3526=EDGE_CURVE('',#4306,#4185,#488,.T.); #3527=EDGE_CURVE('',#4307,#4306,#4732,.T.); #3528=EDGE_CURVE('',#4307,#4186,#489,.T.); #3529=EDGE_CURVE('',#4307,#4308,#490,.T.); #3530=EDGE_CURVE('',#4308,#4187,#491,.T.); #3531=EDGE_CURVE('',#4308,#4218,#4733,.T.); #3532=EDGE_CURVE('',#4237,#4309,#4734,.T.); #3533=EDGE_CURVE('',#4309,#4190,#492,.T.); #3534=EDGE_CURVE('',#4309,#4310,#493,.T.); #3535=EDGE_CURVE('',#4310,#4191,#494,.T.); #3536=EDGE_CURVE('',#4311,#4310,#4735,.T.); #3537=EDGE_CURVE('',#4311,#4192,#495,.T.); #3538=EDGE_CURVE('',#4311,#4312,#4736,.T.); #3539=EDGE_CURVE('',#4312,#4193,#496,.T.); #3540=EDGE_CURVE('',#4313,#4312,#4737,.T.); #3541=EDGE_CURVE('',#4313,#4194,#497,.T.); #3542=EDGE_CURVE('',#4313,#4314,#498,.T.); #3543=EDGE_CURVE('',#4314,#4195,#499,.T.); #3544=EDGE_CURVE('',#4314,#4236,#4738,.T.); #3545=EDGE_CURVE('',#4315,#4234,#4739,.T.); #3546=EDGE_CURVE('',#4316,#4315,#500,.T.); #3547=EDGE_CURVE('',#4316,#4317,#4740,.T.); #3548=EDGE_CURVE('',#4318,#4317,#4741,.T.); #3549=EDGE_CURVE('',#4318,#4319,#4742,.T.); #3550=EDGE_CURVE('',#4320,#4319,#501,.T.); #3551=EDGE_CURVE('',#4235,#4320,#4743,.T.); #3552=EDGE_CURVE('',#4315,#4123,#502,.T.); #3553=EDGE_CURVE('',#4316,#4202,#503,.T.); #3554=EDGE_CURVE('',#4317,#4201,#504,.T.); #3555=EDGE_CURVE('',#4318,#4200,#505,.T.); #3556=EDGE_CURVE('',#4319,#4199,#506,.T.); #3557=EDGE_CURVE('',#4320,#4198,#507,.T.); #3558=EDGE_CURVE('',#4005,#4083,#508,.T.); #3559=EDGE_CURVE('',#4060,#4086,#509,.T.); #3560=EDGE_CURVE('',#4057,#4087,#510,.T.); #3561=EDGE_CURVE('',#4054,#4090,#511,.T.); #3562=EDGE_CURVE('',#4051,#4091,#512,.T.); #3563=EDGE_CURVE('',#4048,#4094,#513,.T.); #3564=EDGE_CURVE('',#4045,#4095,#514,.T.); #3565=EDGE_CURVE('',#4042,#4098,#515,.T.); #3566=EDGE_CURVE('',#4039,#4099,#516,.T.); #3567=EDGE_CURVE('',#4036,#4102,#517,.T.); #3568=EDGE_CURVE('',#4033,#4103,#518,.T.); #3569=EDGE_CURVE('',#4030,#4106,#519,.T.); #3570=EDGE_CURVE('',#4027,#4107,#520,.T.); #3571=EDGE_CURVE('',#4024,#4110,#521,.T.); #3572=EDGE_CURVE('',#4021,#4111,#522,.T.); #3573=EDGE_CURVE('',#4018,#4114,#523,.T.); #3574=EDGE_CURVE('',#4015,#4115,#524,.T.); #3575=EDGE_CURVE('',#4012,#4118,#525,.T.); #3576=EDGE_CURVE('',#4001,#4082,#526,.T.); #3577=EDGE_CURVE('',#4009,#4119,#527,.T.); #3578=EDGE_CURVE('',#3960,#3957,#4744,.T.); #3579=EDGE_CURVE('',#3958,#3959,#4745,.T.); #3580=EDGE_CURVE('',#4321,#3961,#528,.T.); #3581=EDGE_CURVE('',#4322,#4321,#529,.T.); #3582=EDGE_CURVE('',#4322,#3963,#530,.T.); #3583=EDGE_CURVE('',#4323,#3962,#531,.T.); #3584=EDGE_CURVE('',#4321,#4323,#532,.T.); #3585=EDGE_CURVE('',#4324,#3968,#533,.T.); #3586=EDGE_CURVE('',#4323,#4324,#534,.T.); #3587=EDGE_CURVE('',#4325,#3967,#535,.T.); #3588=EDGE_CURVE('',#4324,#4325,#536,.T.); #3589=EDGE_CURVE('',#4326,#3966,#537,.T.); #3590=EDGE_CURVE('',#4325,#4326,#538,.T.); #3591=EDGE_CURVE('',#4327,#3965,#539,.T.); #3592=EDGE_CURVE('',#4326,#4327,#540,.T.); #3593=EDGE_CURVE('',#4328,#3964,#541,.T.); #3594=EDGE_CURVE('',#4327,#4328,#542,.T.); #3595=EDGE_CURVE('',#4329,#4330,#543,.T.); #3596=EDGE_CURVE('',#4331,#4329,#544,.T.); #3597=EDGE_CURVE('',#4331,#4332,#545,.T.); #3598=EDGE_CURVE('',#4332,#4330,#546,.T.); #3599=EDGE_CURVE('',#4333,#4329,#547,.T.); #3600=EDGE_CURVE('',#4334,#4333,#548,.T.); #3601=EDGE_CURVE('',#4334,#4331,#549,.T.); #3602=EDGE_CURVE('',#4330,#4333,#550,.T.); #3603=EDGE_CURVE('',#4332,#4334,#551,.T.); #3604=EDGE_CURVE('',#4328,#4322,#552,.T.); #3605=EDGE_CURVE('',#4335,#3952,#553,.T.); #3606=EDGE_CURVE('',#4336,#4335,#554,.T.); #3607=EDGE_CURVE('',#4336,#3954,#555,.T.); #3608=EDGE_CURVE('',#4337,#3953,#556,.T.); #3609=EDGE_CURVE('',#4335,#4337,#557,.T.); #3610=EDGE_CURVE('',#4338,#3956,#558,.T.); #3611=EDGE_CURVE('',#4337,#4338,#559,.T.); #3612=EDGE_CURVE('',#4339,#3955,#560,.T.); #3613=EDGE_CURVE('',#4338,#4339,#4746,.T.); #3614=EDGE_CURVE('',#4340,#4341,#561,.T.); #3615=EDGE_CURVE('',#4342,#4340,#562,.T.); #3616=EDGE_CURVE('',#4342,#4343,#563,.T.); #3617=EDGE_CURVE('',#4343,#4341,#564,.T.); #3618=EDGE_CURVE('',#4344,#4340,#4747,.T.); #3619=EDGE_CURVE('',#4345,#4344,#565,.T.); #3620=EDGE_CURVE('',#4345,#4342,#4748,.T.); #3621=EDGE_CURVE('',#4346,#4344,#566,.T.); #3622=EDGE_CURVE('',#4347,#4346,#567,.T.); #3623=EDGE_CURVE('',#4347,#4345,#568,.T.); #3624=EDGE_CURVE('',#4348,#4349,#569,.T.); #3625=EDGE_CURVE('',#4350,#4348,#570,.T.); #3626=EDGE_CURVE('',#4350,#4351,#571,.T.); #3627=EDGE_CURVE('',#4351,#4349,#572,.T.); #3628=EDGE_CURVE('',#4352,#4348,#573,.T.); #3629=EDGE_CURVE('',#4353,#4352,#574,.T.); #3630=EDGE_CURVE('',#4353,#4350,#575,.T.); #3631=EDGE_CURVE('',#4354,#4352,#4749,.T.); #3632=EDGE_CURVE('',#4355,#4354,#576,.T.); #3633=EDGE_CURVE('',#4355,#4353,#4750,.T.); #3634=EDGE_CURVE('',#4341,#4346,#577,.T.); #3635=EDGE_CURVE('',#4343,#4347,#578,.T.); #3636=EDGE_CURVE('',#4349,#4354,#579,.T.); #3637=EDGE_CURVE('',#4351,#4355,#580,.T.); #3638=EDGE_CURVE('',#4339,#4336,#4751,.T.); #3639=EDGE_CURVE('',#4356,#4357,#581,.T.); #3640=EDGE_CURVE('',#4205,#4357,#582,.T.); #3641=EDGE_CURVE('',#4204,#4356,#583,.T.); #3642=EDGE_CURVE('',#4215,#4358,#584,.T.); #3643=EDGE_CURVE('',#4358,#4356,#4752,.T.); #3644=EDGE_CURVE('',#4214,#4359,#585,.T.); #3645=EDGE_CURVE('',#4359,#4358,#586,.T.); #3646=EDGE_CURVE('',#4213,#4360,#587,.T.); #3647=EDGE_CURVE('',#4360,#4359,#4753,.T.); #3648=EDGE_CURVE('',#4212,#4361,#588,.T.); #3649=EDGE_CURVE('',#4361,#4360,#589,.T.); #3650=EDGE_CURVE('',#4211,#4362,#590,.T.); #3651=EDGE_CURVE('',#4362,#4361,#4754,.T.); #3652=EDGE_CURVE('',#4210,#4363,#591,.T.); #3653=EDGE_CURVE('',#4363,#4362,#592,.T.); #3654=EDGE_CURVE('',#4209,#4364,#593,.T.); #3655=EDGE_CURVE('',#4364,#4363,#4755,.T.); #3656=EDGE_CURVE('',#4208,#4365,#594,.T.); #3657=EDGE_CURVE('',#4365,#4364,#595,.T.); #3658=EDGE_CURVE('',#4207,#4366,#596,.T.); #3659=EDGE_CURVE('',#4366,#4365,#4756,.T.); #3660=EDGE_CURVE('',#4206,#4367,#597,.T.); #3661=EDGE_CURVE('',#4367,#4366,#598,.T.); #3662=EDGE_CURVE('',#4357,#4367,#4757,.T.); #3663=EDGE_CURVE('',#4368,#4369,#4758,.T.); #3664=EDGE_CURVE('',#4369,#4370,#599,.T.); #3665=EDGE_CURVE('',#4370,#4371,#4759,.T.); #3666=EDGE_CURVE('',#4371,#4372,#600,.T.); #3667=EDGE_CURVE('',#4372,#4373,#4760,.T.); #3668=EDGE_CURVE('',#4373,#4374,#601,.T.); #3669=EDGE_CURVE('',#4374,#4375,#4761,.T.); #3670=EDGE_CURVE('',#4375,#4376,#602,.T.); #3671=EDGE_CURVE('',#4376,#4377,#4762,.T.); #3672=EDGE_CURVE('',#4377,#4378,#603,.T.); #3673=EDGE_CURVE('',#4378,#4379,#4763,.T.); #3674=EDGE_CURVE('',#4379,#4380,#604,.T.); #3675=EDGE_CURVE('',#4380,#4381,#4764,.T.); #3676=EDGE_CURVE('',#4381,#4382,#605,.T.); #3677=EDGE_CURVE('',#4382,#4383,#4765,.T.); #3678=EDGE_CURVE('',#4383,#4384,#606,.T.); #3679=EDGE_CURVE('',#4384,#4385,#4766,.T.); #3680=EDGE_CURVE('',#4385,#4386,#607,.T.); #3681=EDGE_CURVE('',#4386,#4387,#4767,.T.); #3682=EDGE_CURVE('',#4387,#4368,#608,.T.); #3683=EDGE_CURVE('',#3989,#4369,#609,.T.); #3684=EDGE_CURVE('',#3990,#4370,#610,.T.); #3685=EDGE_CURVE('',#3992,#4371,#611,.T.); #3686=EDGE_CURVE('',#3991,#4372,#612,.T.); #3687=EDGE_CURVE('',#3987,#4368,#613,.T.); #3688=EDGE_CURVE('',#3985,#4385,#614,.T.); #3689=EDGE_CURVE('',#4388,#4384,#615,.T.); #3690=EDGE_CURVE('',#4389,#4388,#4768,.T.); #3691=EDGE_CURVE('',#4389,#4381,#616,.T.); #3692=EDGE_CURVE('',#3999,#4380,#617,.T.); #3693=EDGE_CURVE('',#3997,#4377,#618,.T.); #3694=EDGE_CURVE('',#3995,#4376,#619,.T.); #3695=EDGE_CURVE('',#3993,#4373,#620,.T.); #3696=EDGE_CURVE('',#4390,#4390,#4769,.T.); #3697=EDGE_CURVE('',#3994,#4374,#621,.T.); #3698=EDGE_CURVE('',#3996,#4375,#622,.T.); #3699=EDGE_CURVE('',#3998,#4378,#623,.T.); #3700=EDGE_CURVE('',#4000,#4379,#624,.T.); #3701=EDGE_CURVE('',#4389,#4391,#625,.T.); #3702=EDGE_CURVE('',#4391,#4382,#626,.T.); #3703=EDGE_CURVE('',#4391,#4392,#4770,.T.); #3704=EDGE_CURVE('',#4392,#4383,#627,.T.); #3705=EDGE_CURVE('',#4392,#4388,#628,.T.); #3706=EDGE_CURVE('',#3986,#4386,#629,.T.); #3707=EDGE_CURVE('',#3988,#4387,#630,.T.); #3708=EDGE_CURVE('',#4393,#4393,#4771,.T.); #3709=EDGE_CURVE('',#4394,#4394,#4772,.T.); #3710=EDGE_CURVE('',#4395,#4395,#4773,.T.); #3711=EDGE_CURVE('',#4396,#4396,#4774,.T.); #3712=EDGE_CURVE('',#4397,#4397,#4775,.F.); #3713=EDGE_CURVE('',#4398,#4399,#631,.T.); #3714=EDGE_CURVE('',#4399,#4400,#4776,.T.); #3715=EDGE_CURVE('',#4400,#4401,#632,.T.); #3716=EDGE_CURVE('',#4401,#4402,#633,.T.); #3717=EDGE_CURVE('',#4402,#4403,#634,.T.); #3718=EDGE_CURVE('',#4403,#4404,#635,.T.); #3719=EDGE_CURVE('',#4404,#4405,#636,.T.); #3720=EDGE_CURVE('',#4405,#4406,#637,.T.); #3721=EDGE_CURVE('',#4398,#4406,#4777,.T.); #3722=EDGE_CURVE('',#4407,#4408,#638,.T.); #3723=EDGE_CURVE('',#4408,#4409,#639,.T.); #3724=EDGE_CURVE('',#4409,#4410,#640,.T.); #3725=EDGE_CURVE('',#4410,#4411,#641,.T.); #3726=EDGE_CURVE('',#4411,#4412,#642,.T.); #3727=EDGE_CURVE('',#4412,#4413,#643,.T.); #3728=EDGE_CURVE('',#4413,#4407,#644,.T.); #3729=EDGE_CURVE('',#4414,#4415,#4778,.T.); #3730=EDGE_CURVE('',#4415,#4416,#4779,.T.); #3731=EDGE_CURVE('',#4416,#4417,#645,.T.); #3732=EDGE_CURVE('',#4417,#4418,#646,.T.); #3733=EDGE_CURVE('',#4418,#4414,#647,.T.); #3734=EDGE_CURVE('',#4419,#4420,#648,.T.); #3735=EDGE_CURVE('',#4420,#4421,#649,.T.); #3736=EDGE_CURVE('',#4421,#4422,#650,.T.); #3737=EDGE_CURVE('',#4422,#4423,#651,.T.); #3738=EDGE_CURVE('',#4423,#4424,#652,.T.); #3739=EDGE_CURVE('',#4424,#4425,#653,.T.); #3740=EDGE_CURVE('',#4425,#4426,#654,.T.); #3741=EDGE_CURVE('',#4426,#4419,#655,.T.); #3742=EDGE_CURVE('',#4427,#4427,#4780,.F.); #3743=EDGE_CURVE('',#4428,#4428,#4781,.F.); #3744=EDGE_CURVE('',#4429,#4429,#4782,.F.); #3745=EDGE_CURVE('',#4430,#3969,#656,.T.); #3746=EDGE_CURVE('',#4431,#4430,#657,.T.); #3747=EDGE_CURVE('',#4431,#3971,#658,.T.); #3748=EDGE_CURVE('',#4432,#3970,#659,.T.); #3749=EDGE_CURVE('',#4430,#4432,#660,.T.); #3750=EDGE_CURVE('',#4433,#3975,#661,.T.); #3751=EDGE_CURVE('',#4432,#4433,#662,.T.); #3752=EDGE_CURVE('',#4434,#3974,#663,.T.); #3753=EDGE_CURVE('',#4433,#4434,#664,.T.); #3754=EDGE_CURVE('',#4435,#3973,#665,.T.); #3755=EDGE_CURVE('',#4434,#4435,#666,.T.); #3756=EDGE_CURVE('',#4436,#3972,#667,.T.); #3757=EDGE_CURVE('',#4435,#4436,#668,.T.); #3758=EDGE_CURVE('',#4436,#4431,#669,.T.); #3759=EDGE_CURVE('',#4437,#3976,#670,.T.); #3760=EDGE_CURVE('',#4438,#4437,#4783,.T.); #3761=EDGE_CURVE('',#4438,#3978,#671,.T.); #3762=EDGE_CURVE('',#4439,#3977,#672,.T.); #3763=EDGE_CURVE('',#4437,#4439,#673,.T.); #3764=EDGE_CURVE('',#4440,#3984,#674,.T.); #3765=EDGE_CURVE('',#4440,#4439,#4784,.T.); #3766=EDGE_CURVE('',#4441,#3983,#675,.T.); #3767=EDGE_CURVE('',#4440,#4441,#676,.T.); #3768=EDGE_CURVE('',#4442,#3982,#677,.T.); #3769=EDGE_CURVE('',#4441,#4442,#678,.T.); #3770=EDGE_CURVE('',#4443,#3981,#679,.T.); #3771=EDGE_CURVE('',#4442,#4443,#680,.T.); #3772=EDGE_CURVE('',#4444,#3980,#681,.T.); #3773=EDGE_CURVE('',#4443,#4444,#682,.T.); #3774=EDGE_CURVE('',#4445,#3979,#683,.T.); #3775=EDGE_CURVE('',#4444,#4445,#684,.T.); #3776=EDGE_CURVE('',#4445,#4438,#685,.T.); #3777=EDGE_CURVE('',#4446,#4446,#4785,.T.); #3778=EDGE_CURVE('',#4447,#4447,#4786,.T.); #3779=EDGE_CURVE('',#4448,#4448,#4787,.T.); #3780=EDGE_CURVE('',#4449,#4449,#4788,.T.); #3781=EDGE_CURVE('',#4450,#4419,#686,.T.); #3782=EDGE_CURVE('',#4450,#4451,#687,.T.); #3783=EDGE_CURVE('',#4451,#4420,#688,.T.); #3784=EDGE_CURVE('',#4451,#4452,#689,.T.); #3785=EDGE_CURVE('',#4452,#4421,#690,.T.); #3786=EDGE_CURVE('',#4452,#4453,#691,.T.); #3787=EDGE_CURVE('',#4453,#4422,#692,.T.); #3788=EDGE_CURVE('',#4453,#4454,#693,.T.); #3789=EDGE_CURVE('',#4454,#4423,#694,.T.); #3790=EDGE_CURVE('',#4454,#4455,#695,.T.); #3791=EDGE_CURVE('',#4455,#4424,#696,.T.); #3792=EDGE_CURVE('',#4455,#4456,#697,.T.); #3793=EDGE_CURVE('',#4456,#4425,#698,.T.); #3794=EDGE_CURVE('',#4456,#4457,#699,.T.); #3795=EDGE_CURVE('',#4457,#4426,#700,.T.); #3796=EDGE_CURVE('',#4458,#4459,#701,.T.); #3797=EDGE_CURVE('',#4460,#4459,#702,.T.); #3798=EDGE_CURVE('',#4461,#4460,#703,.T.); #3799=EDGE_CURVE('',#4461,#4458,#704,.T.); #3800=EDGE_CURVE('',#4462,#4458,#705,.T.); #3801=EDGE_CURVE('',#4463,#4461,#706,.T.); #3802=EDGE_CURVE('',#4463,#4462,#707,.T.); #3803=EDGE_CURVE('',#4459,#4462,#708,.T.); #3804=EDGE_CURVE('',#4460,#4463,#709,.T.); #3805=EDGE_CURVE('',#4457,#4450,#710,.T.); #3806=EDGE_CURVE('',#4464,#4414,#711,.T.); #3807=EDGE_CURVE('',#4464,#4465,#4789,.T.); #3808=EDGE_CURVE('',#4465,#4415,#712,.T.); #3809=EDGE_CURVE('',#4465,#4466,#4790,.T.); #3810=EDGE_CURVE('',#4466,#4416,#713,.T.); #3811=EDGE_CURVE('',#4466,#4467,#714,.T.); #3812=EDGE_CURVE('',#4467,#4417,#715,.T.); #3813=EDGE_CURVE('',#4467,#4468,#716,.T.); #3814=EDGE_CURVE('',#4468,#4418,#717,.T.); #3815=EDGE_CURVE('',#4469,#4470,#718,.T.); #3816=EDGE_CURVE('',#4471,#4470,#719,.T.); #3817=EDGE_CURVE('',#4472,#4471,#720,.T.); #3818=EDGE_CURVE('',#4472,#4469,#721,.T.); #3819=EDGE_CURVE('',#4473,#4469,#722,.T.); #3820=EDGE_CURVE('',#4474,#4472,#723,.T.); #3821=EDGE_CURVE('',#4474,#4473,#724,.T.); #3822=EDGE_CURVE('',#4475,#4473,#725,.T.); #3823=EDGE_CURVE('',#4476,#4474,#726,.T.); #3824=EDGE_CURVE('',#4476,#4475,#727,.T.); #3825=EDGE_CURVE('',#4477,#4478,#728,.T.); #3826=EDGE_CURVE('',#4479,#4478,#729,.T.); #3827=EDGE_CURVE('',#4480,#4479,#730,.T.); #3828=EDGE_CURVE('',#4480,#4477,#731,.T.); #3829=EDGE_CURVE('',#4481,#4477,#732,.T.); #3830=EDGE_CURVE('',#4482,#4480,#733,.T.); #3831=EDGE_CURVE('',#4482,#4481,#734,.T.); #3832=EDGE_CURVE('',#4483,#4481,#735,.T.); #3833=EDGE_CURVE('',#4484,#4482,#736,.T.); #3834=EDGE_CURVE('',#4484,#4483,#737,.T.); #3835=EDGE_CURVE('',#4470,#4475,#4791,.T.); #3836=EDGE_CURVE('',#4471,#4476,#4792,.T.); #3837=EDGE_CURVE('',#4478,#4483,#4793,.T.); #3838=EDGE_CURVE('',#4479,#4484,#4794,.T.); #3839=EDGE_CURVE('',#4468,#4464,#738,.T.); #3840=EDGE_CURVE('',#4485,#4407,#739,.T.); #3841=EDGE_CURVE('',#4485,#4486,#740,.T.); #3842=EDGE_CURVE('',#4486,#4408,#741,.T.); #3843=EDGE_CURVE('',#4486,#4487,#742,.T.); #3844=EDGE_CURVE('',#4487,#4409,#743,.T.); #3845=EDGE_CURVE('',#4487,#4488,#744,.T.); #3846=EDGE_CURVE('',#4488,#4410,#745,.T.); #3847=EDGE_CURVE('',#4488,#4489,#746,.T.); #3848=EDGE_CURVE('',#4489,#4411,#747,.T.); #3849=EDGE_CURVE('',#4489,#4490,#748,.T.); #3850=EDGE_CURVE('',#4490,#4412,#749,.T.); #3851=EDGE_CURVE('',#4490,#4491,#750,.T.); #3852=EDGE_CURVE('',#4491,#4413,#751,.T.); #3853=EDGE_CURVE('',#4491,#4485,#752,.T.); #3854=EDGE_CURVE('',#4492,#4398,#753,.T.); #3855=EDGE_CURVE('',#4492,#4493,#754,.T.); #3856=EDGE_CURVE('',#4493,#4399,#755,.T.); #3857=EDGE_CURVE('',#4493,#4494,#4795,.T.); #3858=EDGE_CURVE('',#4494,#4400,#756,.T.); #3859=EDGE_CURVE('',#4494,#4495,#757,.T.); #3860=EDGE_CURVE('',#4495,#4401,#758,.T.); #3861=EDGE_CURVE('',#4495,#4496,#759,.T.); #3862=EDGE_CURVE('',#4496,#4402,#760,.T.); #3863=EDGE_CURVE('',#4496,#4497,#761,.T.); #3864=EDGE_CURVE('',#4497,#4403,#762,.T.); #3865=EDGE_CURVE('',#4497,#4498,#763,.T.); #3866=EDGE_CURVE('',#4498,#4404,#764,.T.); #3867=EDGE_CURVE('',#4498,#4499,#765,.T.); #3868=EDGE_CURVE('',#4499,#4405,#766,.T.); #3869=EDGE_CURVE('',#4499,#4500,#767,.T.); #3870=EDGE_CURVE('',#4500,#4406,#768,.T.); #3871=EDGE_CURVE('',#4492,#4500,#4796,.T.); #3872=EDGE_CURVE('',#4501,#4501,#4797,.T.); #3873=EDGE_CURVE('',#4502,#4502,#4798,.T.); #3874=EDGE_CURVE('',#4503,#4503,#4799,.T.); #3875=EDGE_CURVE('',#4504,#4504,#4800,.T.); #3876=EDGE_CURVE('',#4505,#4505,#4801,.T.); #3877=EDGE_CURVE('',#4506,#4506,#4802,.T.); #3878=EDGE_CURVE('',#4507,#4507,#4803,.T.); #3879=EDGE_CURVE('',#4508,#4508,#4804,.T.); #3880=EDGE_CURVE('',#4509,#4509,#4805,.T.); #3881=EDGE_CURVE('',#4510,#4510,#4806,.T.); #3882=EDGE_CURVE('',#4511,#4511,#4807,.T.); #3883=EDGE_CURVE('',#4512,#4512,#4808,.T.); #3884=EDGE_CURVE('',#4513,#4513,#4809,.T.); #3885=EDGE_CURVE('',#4514,#4514,#4810,.T.); #3886=EDGE_CURVE('',#3960,#3957,#4811,.T.); #3887=EDGE_CURVE('',#4515,#4515,#4812,.T.); #3888=EDGE_CURVE('',#4516,#4516,#4813,.T.); #3889=EDGE_CURVE('',#4517,#4517,#4814,.T.); #3890=EDGE_CURVE('',#4518,#4518,#4815,.T.); #3891=EDGE_CURVE('',#4519,#4519,#4816,.T.); #3892=EDGE_CURVE('',#4520,#4520,#4817,.T.); #3893=EDGE_CURVE('',#4521,#4521,#4818,.T.); #3894=EDGE_CURVE('',#3959,#3958,#4819,.T.); #3895=EDGE_CURVE('',#4522,#4522,#4820,.T.); #3896=EDGE_CURVE('',#4523,#4523,#4821,.T.); #3897=EDGE_CURVE('',#4524,#4524,#4822,.T.); #3898=EDGE_CURVE('',#4525,#4525,#4823,.T.); #3899=EDGE_CURVE('',#4526,#4526,#4824,.T.); #3900=EDGE_CURVE('',#4527,#4527,#4825,.T.); #3901=EDGE_CURVE('',#4528,#4528,#4826,.T.); #3902=EDGE_CURVE('',#4529,#3934,#769,.T.); #3903=EDGE_CURVE('',#4530,#4529,#770,.T.); #3904=EDGE_CURVE('',#4530,#3936,#771,.T.); #3905=EDGE_CURVE('',#4531,#3935,#772,.T.); #3906=EDGE_CURVE('',#4529,#4531,#4827,.T.); #3907=EDGE_CURVE('',#4532,#3949,#773,.T.); #3908=EDGE_CURVE('',#4531,#4532,#774,.T.); #3909=EDGE_CURVE('',#4533,#3948,#775,.T.); #3910=EDGE_CURVE('',#4532,#4533,#4828,.T.); #3911=EDGE_CURVE('',#4534,#3947,#776,.T.); #3912=EDGE_CURVE('',#4533,#4534,#777,.T.); #3913=EDGE_CURVE('',#4535,#3946,#778,.T.); #3914=EDGE_CURVE('',#4535,#4534,#4829,.T.); #3915=EDGE_CURVE('',#4536,#3945,#779,.T.); #3916=EDGE_CURVE('',#4535,#4536,#780,.T.); #3917=EDGE_CURVE('',#4537,#3944,#781,.T.); #3918=EDGE_CURVE('',#4537,#4536,#4830,.T.); #3919=EDGE_CURVE('',#4538,#3943,#782,.T.); #3920=EDGE_CURVE('',#4537,#4538,#783,.T.); #3921=EDGE_CURVE('',#4539,#3942,#784,.T.); #3922=EDGE_CURVE('',#4538,#4539,#4831,.T.); #3923=EDGE_CURVE('',#4540,#3941,#785,.T.); #3924=EDGE_CURVE('',#4539,#4540,#786,.T.); #3925=EDGE_CURVE('',#4541,#3940,#787,.T.); #3926=EDGE_CURVE('',#4540,#4541,#4832,.T.); #3927=EDGE_CURVE('',#4542,#3939,#788,.T.); #3928=EDGE_CURVE('',#4541,#4542,#789,.T.); #3929=EDGE_CURVE('',#4543,#3938,#790,.T.); #3930=EDGE_CURVE('',#4543,#4542,#4833,.T.); #3931=EDGE_CURVE('',#4544,#3937,#791,.T.); #3932=EDGE_CURVE('',#4543,#4544,#792,.T.); #3933=EDGE_CURVE('',#4530,#4544,#4834,.T.); #3934=VERTEX_POINT('',#8538); #3935=VERTEX_POINT('',#8539); #3936=VERTEX_POINT('',#8541); #3937=VERTEX_POINT('',#8543); #3938=VERTEX_POINT('',#8545); #3939=VERTEX_POINT('',#8547); #3940=VERTEX_POINT('',#8549); #3941=VERTEX_POINT('',#8551); #3942=VERTEX_POINT('',#8553); #3943=VERTEX_POINT('',#8555); #3944=VERTEX_POINT('',#8557); #3945=VERTEX_POINT('',#8559); #3946=VERTEX_POINT('',#8561); #3947=VERTEX_POINT('',#8563); #3948=VERTEX_POINT('',#8565); #3949=VERTEX_POINT('',#8567); #3950=VERTEX_POINT('',#8570); #3951=VERTEX_POINT('',#8572); #3952=VERTEX_POINT('',#8574); #3953=VERTEX_POINT('',#8575); #3954=VERTEX_POINT('',#8577); #3955=VERTEX_POINT('',#8579); #3956=VERTEX_POINT('',#8581); #3957=VERTEX_POINT('',#8584); #3958=VERTEX_POINT('',#8585); #3959=VERTEX_POINT('',#8587); #3960=VERTEX_POINT('',#8589); #3961=VERTEX_POINT('',#8592); #3962=VERTEX_POINT('',#8593); #3963=VERTEX_POINT('',#8595); #3964=VERTEX_POINT('',#8597); #3965=VERTEX_POINT('',#8599); #3966=VERTEX_POINT('',#8601); #3967=VERTEX_POINT('',#8603); #3968=VERTEX_POINT('',#8605); #3969=VERTEX_POINT('',#8608); #3970=VERTEX_POINT('',#8609); #3971=VERTEX_POINT('',#8611); #3972=VERTEX_POINT('',#8613); #3973=VERTEX_POINT('',#8615); #3974=VERTEX_POINT('',#8617); #3975=VERTEX_POINT('',#8619); #3976=VERTEX_POINT('',#8622); #3977=VERTEX_POINT('',#8623); #3978=VERTEX_POINT('',#8625); #3979=VERTEX_POINT('',#8627); #3980=VERTEX_POINT('',#8629); #3981=VERTEX_POINT('',#8631); #3982=VERTEX_POINT('',#8633); #3983=VERTEX_POINT('',#8635); #3984=VERTEX_POINT('',#8637); #3985=VERTEX_POINT('',#8641); #3986=VERTEX_POINT('',#8642); #3987=VERTEX_POINT('',#8644); #3988=VERTEX_POINT('',#8646); #3989=VERTEX_POINT('',#8650); #3990=VERTEX_POINT('',#8651); #3991=VERTEX_POINT('',#8653); #3992=VERTEX_POINT('',#8655); #3993=VERTEX_POINT('',#8659); #3994=VERTEX_POINT('',#8660); #3995=VERTEX_POINT('',#8662); #3996=VERTEX_POINT('',#8664); #3997=VERTEX_POINT('',#8668); #3998=VERTEX_POINT('',#8669); #3999=VERTEX_POINT('',#8671); #4000=VERTEX_POINT('',#8673); #4001=VERTEX_POINT('',#8680); #4002=VERTEX_POINT('',#8681); #4003=VERTEX_POINT('',#8686); #4004=VERTEX_POINT('',#8688); #4005=VERTEX_POINT('',#8693); #4006=VERTEX_POINT('',#8698); #4007=VERTEX_POINT('',#8702); #4008=VERTEX_POINT('',#8703); #4009=VERTEX_POINT('',#8708); #4010=VERTEX_POINT('',#8713); #4011=VERTEX_POINT('',#8715); #4012=VERTEX_POINT('',#8720); #4013=VERTEX_POINT('',#8727); #4014=VERTEX_POINT('',#8728); #4015=VERTEX_POINT('',#8733); #4016=VERTEX_POINT('',#8738); #4017=VERTEX_POINT('',#8740); #4018=VERTEX_POINT('',#8745); #4019=VERTEX_POINT('',#8752); #4020=VERTEX_POINT('',#8753); #4021=VERTEX_POINT('',#8758); #4022=VERTEX_POINT('',#8763); #4023=VERTEX_POINT('',#8765); #4024=VERTEX_POINT('',#8770); #4025=VERTEX_POINT('',#8777); #4026=VERTEX_POINT('',#8778); #4027=VERTEX_POINT('',#8783); #4028=VERTEX_POINT('',#8788); #4029=VERTEX_POINT('',#8790); #4030=VERTEX_POINT('',#8795); #4031=VERTEX_POINT('',#8802); #4032=VERTEX_POINT('',#8803); #4033=VERTEX_POINT('',#8808); #4034=VERTEX_POINT('',#8813); #4035=VERTEX_POINT('',#8815); #4036=VERTEX_POINT('',#8820); #4037=VERTEX_POINT('',#8827); #4038=VERTEX_POINT('',#8828); #4039=VERTEX_POINT('',#8833); #4040=VERTEX_POINT('',#8838); #4041=VERTEX_POINT('',#8840); #4042=VERTEX_POINT('',#8845); #4043=VERTEX_POINT('',#8852); #4044=VERTEX_POINT('',#8853); #4045=VERTEX_POINT('',#8858); #4046=VERTEX_POINT('',#8863); #4047=VERTEX_POINT('',#8865); #4048=VERTEX_POINT('',#8870); #4049=VERTEX_POINT('',#8877); #4050=VERTEX_POINT('',#8878); #4051=VERTEX_POINT('',#8883); #4052=VERTEX_POINT('',#8888); #4053=VERTEX_POINT('',#8890); #4054=VERTEX_POINT('',#8895); #4055=VERTEX_POINT('',#8902); #4056=VERTEX_POINT('',#8903); #4057=VERTEX_POINT('',#8908); #4058=VERTEX_POINT('',#8913); #4059=VERTEX_POINT('',#8915); #4060=VERTEX_POINT('',#8920); #4061=VERTEX_POINT('',#8927); #4062=VERTEX_POINT('',#8929); #4063=VERTEX_POINT('',#8933); #4064=VERTEX_POINT('',#8935); #4065=VERTEX_POINT('',#8939); #4066=VERTEX_POINT('',#8941); #4067=VERTEX_POINT('',#8945); #4068=VERTEX_POINT('',#8947); #4069=VERTEX_POINT('',#8951); #4070=VERTEX_POINT('',#8953); #4071=VERTEX_POINT('',#8957); #4072=VERTEX_POINT('',#8959); #4073=VERTEX_POINT('',#8963); #4074=VERTEX_POINT('',#8965); #4075=VERTEX_POINT('',#8969); #4076=VERTEX_POINT('',#8971); #4077=VERTEX_POINT('',#8975); #4078=VERTEX_POINT('',#8977); #4079=VERTEX_POINT('',#8981); #4080=VERTEX_POINT('',#8983); #4081=VERTEX_POINT('',#8987); #4082=VERTEX_POINT('',#8992); #4083=VERTEX_POINT('',#8997); #4084=VERTEX_POINT('',#9003); #4085=VERTEX_POINT('',#9005); #4086=VERTEX_POINT('',#9011); #4087=VERTEX_POINT('',#9020); #4088=VERTEX_POINT('',#9026); #4089=VERTEX_POINT('',#9028); #4090=VERTEX_POINT('',#9034); #4091=VERTEX_POINT('',#9043); #4092=VERTEX_POINT('',#9049); #4093=VERTEX_POINT('',#9051); #4094=VERTEX_POINT('',#9057); #4095=VERTEX_POINT('',#9066); #4096=VERTEX_POINT('',#9072); #4097=VERTEX_POINT('',#9074); #4098=VERTEX_POINT('',#9080); #4099=VERTEX_POINT('',#9089); #4100=VERTEX_POINT('',#9095); #4101=VERTEX_POINT('',#9097); #4102=VERTEX_POINT('',#9103); #4103=VERTEX_POINT('',#9112); #4104=VERTEX_POINT('',#9118); #4105=VERTEX_POINT('',#9120); #4106=VERTEX_POINT('',#9126); #4107=VERTEX_POINT('',#9135); #4108=VERTEX_POINT('',#9141); #4109=VERTEX_POINT('',#9143); #4110=VERTEX_POINT('',#9149); #4111=VERTEX_POINT('',#9158); #4112=VERTEX_POINT('',#9164); #4113=VERTEX_POINT('',#9166); #4114=VERTEX_POINT('',#9172); #4115=VERTEX_POINT('',#9181); #4116=VERTEX_POINT('',#9187); #4117=VERTEX_POINT('',#9189); #4118=VERTEX_POINT('',#9195); #4119=VERTEX_POINT('',#9204); #4120=VERTEX_POINT('',#9210); #4121=VERTEX_POINT('',#9212); #4122=VERTEX_POINT('',#9220); #4123=VERTEX_POINT('',#9222); #4124=VERTEX_POINT('',#9223); #4125=VERTEX_POINT('',#9225); #4126=VERTEX_POINT('',#9227); #4127=VERTEX_POINT('',#9229); #4128=VERTEX_POINT('',#9231); #4129=VERTEX_POINT('',#9233); #4130=VERTEX_POINT('',#9235); #4131=VERTEX_POINT('',#9237); #4132=VERTEX_POINT('',#9239); #4133=VERTEX_POINT('',#9241); #4134=VERTEX_POINT('',#9243); #4135=VERTEX_POINT('',#9245); #4136=VERTEX_POINT('',#9247); #4137=VERTEX_POINT('',#9249); #4138=VERTEX_POINT('',#9251); #4139=VERTEX_POINT('',#9253); #4140=VERTEX_POINT('',#9255); #4141=VERTEX_POINT('',#9257); #4142=VERTEX_POINT('',#9259); #4143=VERTEX_POINT('',#9261); #4144=VERTEX_POINT('',#9263); #4145=VERTEX_POINT('',#9265); #4146=VERTEX_POINT('',#9267); #4147=VERTEX_POINT('',#9269); #4148=VERTEX_POINT('',#9271); #4149=VERTEX_POINT('',#9273); #4150=VERTEX_POINT('',#9275); #4151=VERTEX_POINT('',#9277); #4152=VERTEX_POINT('',#9279); #4153=VERTEX_POINT('',#9281); #4154=VERTEX_POINT('',#9283); #4155=VERTEX_POINT('',#9285); #4156=VERTEX_POINT('',#9287); #4157=VERTEX_POINT('',#9289); #4158=VERTEX_POINT('',#9291); #4159=VERTEX_POINT('',#9293); #4160=VERTEX_POINT('',#9295); #4161=VERTEX_POINT('',#9297); #4162=VERTEX_POINT('',#9299); #4163=VERTEX_POINT('',#9301); #4164=VERTEX_POINT('',#9303); #4165=VERTEX_POINT('',#9305); #4166=VERTEX_POINT('',#9307); #4167=VERTEX_POINT('',#9309); #4168=VERTEX_POINT('',#9311); #4169=VERTEX_POINT('',#9313); #4170=VERTEX_POINT('',#9315); #4171=VERTEX_POINT('',#9317); #4172=VERTEX_POINT('',#9319); #4173=VERTEX_POINT('',#9321); #4174=VERTEX_POINT('',#9323); #4175=VERTEX_POINT('',#9325); #4176=VERTEX_POINT('',#9327); #4177=VERTEX_POINT('',#9329); #4178=VERTEX_POINT('',#9331); #4179=VERTEX_POINT('',#9333); #4180=VERTEX_POINT('',#9335); #4181=VERTEX_POINT('',#9337); #4182=VERTEX_POINT('',#9339); #4183=VERTEX_POINT('',#9341); #4184=VERTEX_POINT('',#9343); #4185=VERTEX_POINT('',#9345); #4186=VERTEX_POINT('',#9347); #4187=VERTEX_POINT('',#9349); #4188=VERTEX_POINT('',#9351); #4189=VERTEX_POINT('',#9353); #4190=VERTEX_POINT('',#9355); #4191=VERTEX_POINT('',#9357); #4192=VERTEX_POINT('',#9359); #4193=VERTEX_POINT('',#9361); #4194=VERTEX_POINT('',#9363); #4195=VERTEX_POINT('',#9365); #4196=VERTEX_POINT('',#9367); #4197=VERTEX_POINT('',#9369); #4198=VERTEX_POINT('',#9371); #4199=VERTEX_POINT('',#9373); #4200=VERTEX_POINT('',#9375); #4201=VERTEX_POINT('',#9377); #4202=VERTEX_POINT('',#9379); #4203=VERTEX_POINT('',#9383); #4204=VERTEX_POINT('',#9385); #4205=VERTEX_POINT('',#9386); #4206=VERTEX_POINT('',#9388); #4207=VERTEX_POINT('',#9390); #4208=VERTEX_POINT('',#9392); #4209=VERTEX_POINT('',#9394); #4210=VERTEX_POINT('',#9396); #4211=VERTEX_POINT('',#9398); #4212=VERTEX_POINT('',#9400); #4213=VERTEX_POINT('',#9402); #4214=VERTEX_POINT('',#9404); #4215=VERTEX_POINT('',#9406); #4216=VERTEX_POINT('',#9408); #4217=VERTEX_POINT('',#9415); #4218=VERTEX_POINT('',#9418); #4219=VERTEX_POINT('',#9420); #4220=VERTEX_POINT('',#9423); #4221=VERTEX_POINT('',#9425); #4222=VERTEX_POINT('',#9428); #4223=VERTEX_POINT('',#9430); #4224=VERTEX_POINT('',#9433); #4225=VERTEX_POINT('',#9435); #4226=VERTEX_POINT('',#9438); #4227=VERTEX_POINT('',#9440); #4228=VERTEX_POINT('',#9443); #4229=VERTEX_POINT('',#9445); #4230=VERTEX_POINT('',#9448); #4231=VERTEX_POINT('',#9450); #4232=VERTEX_POINT('',#9453); #4233=VERTEX_POINT('',#9455); #4234=VERTEX_POINT('',#9458); #4235=VERTEX_POINT('',#9460); #4236=VERTEX_POINT('',#9463); #4237=VERTEX_POINT('',#9465); #4238=VERTEX_POINT('',#9469); #4239=VERTEX_POINT('',#9472); #4240=VERTEX_POINT('',#9475); #4241=VERTEX_POINT('',#9484); #4242=VERTEX_POINT('',#9487); #4243=VERTEX_POINT('',#9496); #4244=VERTEX_POINT('',#9499); #4245=VERTEX_POINT('',#9508); #4246=VERTEX_POINT('',#9511); #4247=VERTEX_POINT('',#9520); #4248=VERTEX_POINT('',#9523); #4249=VERTEX_POINT('',#9532); #4250=VERTEX_POINT('',#9535); #4251=VERTEX_POINT('',#9544); #4252=VERTEX_POINT('',#9547); #4253=VERTEX_POINT('',#9556); #4254=VERTEX_POINT('',#9559); #4255=VERTEX_POINT('',#9568); #4256=VERTEX_POINT('',#9571); #4257=VERTEX_POINT('',#9580); #4258=VERTEX_POINT('',#9583); #4259=VERTEX_POINT('',#9592); #4260=VERTEX_POINT('',#9596); #4261=VERTEX_POINT('',#9599); #4262=VERTEX_POINT('',#9603); #4263=VERTEX_POINT('',#9607); #4264=VERTEX_POINT('',#9611); #4265=VERTEX_POINT('',#9615); #4266=VERTEX_POINT('',#9619); #4267=VERTEX_POINT('',#9626); #4268=VERTEX_POINT('',#9630); #4269=VERTEX_POINT('',#9634); #4270=VERTEX_POINT('',#9638); #4271=VERTEX_POINT('',#9642); #4272=VERTEX_POINT('',#9646); #4273=VERTEX_POINT('',#9653); #4274=VERTEX_POINT('',#9657); #4275=VERTEX_POINT('',#9661); #4276=VERTEX_POINT('',#9665); #4277=VERTEX_POINT('',#9669); #4278=VERTEX_POINT('',#9673); #4279=VERTEX_POINT('',#9680); #4280=VERTEX_POINT('',#9684); #4281=VERTEX_POINT('',#9688); #4282=VERTEX_POINT('',#9692); #4283=VERTEX_POINT('',#9696); #4284=VERTEX_POINT('',#9700); #4285=VERTEX_POINT('',#9707); #4286=VERTEX_POINT('',#9711); #4287=VERTEX_POINT('',#9715); #4288=VERTEX_POINT('',#9719); #4289=VERTEX_POINT('',#9723); #4290=VERTEX_POINT('',#9727); #4291=VERTEX_POINT('',#9734); #4292=VERTEX_POINT('',#9738); #4293=VERTEX_POINT('',#9742); #4294=VERTEX_POINT('',#9746); #4295=VERTEX_POINT('',#9750); #4296=VERTEX_POINT('',#9754); #4297=VERTEX_POINT('',#9761); #4298=VERTEX_POINT('',#9765); #4299=VERTEX_POINT('',#9769); #4300=VERTEX_POINT('',#9773); #4301=VERTEX_POINT('',#9777); #4302=VERTEX_POINT('',#9781); #4303=VERTEX_POINT('',#9788); #4304=VERTEX_POINT('',#9792); #4305=VERTEX_POINT('',#9796); #4306=VERTEX_POINT('',#9800); #4307=VERTEX_POINT('',#9804); #4308=VERTEX_POINT('',#9808); #4309=VERTEX_POINT('',#9815); #4310=VERTEX_POINT('',#9819); #4311=VERTEX_POINT('',#9823); #4312=VERTEX_POINT('',#9827); #4313=VERTEX_POINT('',#9831); #4314=VERTEX_POINT('',#9835); #4315=VERTEX_POINT('',#9842); #4316=VERTEX_POINT('',#9844); #4317=VERTEX_POINT('',#9846); #4318=VERTEX_POINT('',#9848); #4319=VERTEX_POINT('',#9850); #4320=VERTEX_POINT('',#9852); #4321=VERTEX_POINT('',#9932); #4322=VERTEX_POINT('',#9934); #4323=VERTEX_POINT('',#9938); #4324=VERTEX_POINT('',#9942); #4325=VERTEX_POINT('',#9946); #4326=VERTEX_POINT('',#9950); #4327=VERTEX_POINT('',#9954); #4328=VERTEX_POINT('',#9958); #4329=VERTEX_POINT('',#9962); #4330=VERTEX_POINT('',#9963); #4331=VERTEX_POINT('',#9965); #4332=VERTEX_POINT('',#9967); #4333=VERTEX_POINT('',#9971); #4334=VERTEX_POINT('',#9973); #4335=VERTEX_POINT('',#9984); #4336=VERTEX_POINT('',#9986); #4337=VERTEX_POINT('',#9990); #4338=VERTEX_POINT('',#9994); #4339=VERTEX_POINT('',#9998); #4340=VERTEX_POINT('',#10002); #4341=VERTEX_POINT('',#10003); #4342=VERTEX_POINT('',#10005); #4343=VERTEX_POINT('',#10007); #4344=VERTEX_POINT('',#10011); #4345=VERTEX_POINT('',#10013); #4346=VERTEX_POINT('',#10017); #4347=VERTEX_POINT('',#10019); #4348=VERTEX_POINT('',#10023); #4349=VERTEX_POINT('',#10024); #4350=VERTEX_POINT('',#10026); #4351=VERTEX_POINT('',#10028); #4352=VERTEX_POINT('',#10032); #4353=VERTEX_POINT('',#10034); #4354=VERTEX_POINT('',#10038); #4355=VERTEX_POINT('',#10040); #4356=VERTEX_POINT('',#10062); #4357=VERTEX_POINT('',#10063); #4358=VERTEX_POINT('',#10079); #4359=VERTEX_POINT('',#10090); #4360=VERTEX_POINT('',#10101); #4361=VERTEX_POINT('',#10112); #4362=VERTEX_POINT('',#10127); #4363=VERTEX_POINT('',#10138); #4364=VERTEX_POINT('',#10149); #4365=VERTEX_POINT('',#10160); #4366=VERTEX_POINT('',#10175); #4367=VERTEX_POINT('',#10186); #4368=VERTEX_POINT('',#10199); #4369=VERTEX_POINT('',#10200); #4370=VERTEX_POINT('',#10202); #4371=VERTEX_POINT('',#10204); #4372=VERTEX_POINT('',#10206); #4373=VERTEX_POINT('',#10208); #4374=VERTEX_POINT('',#10210); #4375=VERTEX_POINT('',#10212); #4376=VERTEX_POINT('',#10214); #4377=VERTEX_POINT('',#10216); #4378=VERTEX_POINT('',#10218); #4379=VERTEX_POINT('',#10220); #4380=VERTEX_POINT('',#10222); #4381=VERTEX_POINT('',#10224); #4382=VERTEX_POINT('',#10226); #4383=VERTEX_POINT('',#10228); #4384=VERTEX_POINT('',#10230); #4385=VERTEX_POINT('',#10232); #4386=VERTEX_POINT('',#10234); #4387=VERTEX_POINT('',#10236); #4388=VERTEX_POINT('',#10249); #4389=VERTEX_POINT('',#10251); #4390=VERTEX_POINT('',#10258); #4391=VERTEX_POINT('',#10271); #4392=VERTEX_POINT('',#10275); #4393=VERTEX_POINT('',#10287); #4394=VERTEX_POINT('',#10290); #4395=VERTEX_POINT('',#10293); #4396=VERTEX_POINT('',#10296); #4397=VERTEX_POINT('',#10299); #4398=VERTEX_POINT('',#10301); #4399=VERTEX_POINT('',#10302); #4400=VERTEX_POINT('',#10304); #4401=VERTEX_POINT('',#10306); #4402=VERTEX_POINT('',#10308); #4403=VERTEX_POINT('',#10310); #4404=VERTEX_POINT('',#10312); #4405=VERTEX_POINT('',#10314); #4406=VERTEX_POINT('',#10316); #4407=VERTEX_POINT('',#10319); #4408=VERTEX_POINT('',#10320); #4409=VERTEX_POINT('',#10322); #4410=VERTEX_POINT('',#10324); #4411=VERTEX_POINT('',#10326); #4412=VERTEX_POINT('',#10328); #4413=VERTEX_POINT('',#10330); #4414=VERTEX_POINT('',#10333); #4415=VERTEX_POINT('',#10334); #4416=VERTEX_POINT('',#10336); #4417=VERTEX_POINT('',#10338); #4418=VERTEX_POINT('',#10340); #4419=VERTEX_POINT('',#10343); #4420=VERTEX_POINT('',#10344); #4421=VERTEX_POINT('',#10346); #4422=VERTEX_POINT('',#10348); #4423=VERTEX_POINT('',#10350); #4424=VERTEX_POINT('',#10352); #4425=VERTEX_POINT('',#10354); #4426=VERTEX_POINT('',#10356); #4427=VERTEX_POINT('',#10359); #4428=VERTEX_POINT('',#10361); #4429=VERTEX_POINT('',#10363); #4430=VERTEX_POINT('',#10366); #4431=VERTEX_POINT('',#10368); #4432=VERTEX_POINT('',#10372); #4433=VERTEX_POINT('',#10376); #4434=VERTEX_POINT('',#10380); #4435=VERTEX_POINT('',#10384); #4436=VERTEX_POINT('',#10388); #4437=VERTEX_POINT('',#10395); #4438=VERTEX_POINT('',#10397); #4439=VERTEX_POINT('',#10401); #4440=VERTEX_POINT('',#10405); #4441=VERTEX_POINT('',#10409); #4442=VERTEX_POINT('',#10413); #4443=VERTEX_POINT('',#10417); #4444=VERTEX_POINT('',#10421); #4445=VERTEX_POINT('',#10425); #4446=VERTEX_POINT('',#10432); #4447=VERTEX_POINT('',#10436); #4448=VERTEX_POINT('',#10440); #4449=VERTEX_POINT('',#10444); #4450=VERTEX_POINT('',#10448); #4451=VERTEX_POINT('',#10450); #4452=VERTEX_POINT('',#10454); #4453=VERTEX_POINT('',#10458); #4454=VERTEX_POINT('',#10462); #4455=VERTEX_POINT('',#10466); #4456=VERTEX_POINT('',#10470); #4457=VERTEX_POINT('',#10474); #4458=VERTEX_POINT('',#10478); #4459=VERTEX_POINT('',#10479); #4460=VERTEX_POINT('',#10481); #4461=VERTEX_POINT('',#10483); #4462=VERTEX_POINT('',#10487); #4463=VERTEX_POINT('',#10489); #4464=VERTEX_POINT('',#10500); #4465=VERTEX_POINT('',#10502); #4466=VERTEX_POINT('',#10506); #4467=VERTEX_POINT('',#10510); #4468=VERTEX_POINT('',#10514); #4469=VERTEX_POINT('',#10518); #4470=VERTEX_POINT('',#10519); #4471=VERTEX_POINT('',#10521); #4472=VERTEX_POINT('',#10523); #4473=VERTEX_POINT('',#10527); #4474=VERTEX_POINT('',#10529); #4475=VERTEX_POINT('',#10533); #4476=VERTEX_POINT('',#10535); #4477=VERTEX_POINT('',#10539); #4478=VERTEX_POINT('',#10540); #4479=VERTEX_POINT('',#10542); #4480=VERTEX_POINT('',#10544); #4481=VERTEX_POINT('',#10548); #4482=VERTEX_POINT('',#10550); #4483=VERTEX_POINT('',#10554); #4484=VERTEX_POINT('',#10556); #4485=VERTEX_POINT('',#10571); #4486=VERTEX_POINT('',#10573); #4487=VERTEX_POINT('',#10577); #4488=VERTEX_POINT('',#10581); #4489=VERTEX_POINT('',#10585); #4490=VERTEX_POINT('',#10589); #4491=VERTEX_POINT('',#10593); #4492=VERTEX_POINT('',#10600); #4493=VERTEX_POINT('',#10602); #4494=VERTEX_POINT('',#10606); #4495=VERTEX_POINT('',#10610); #4496=VERTEX_POINT('',#10614); #4497=VERTEX_POINT('',#10618); #4498=VERTEX_POINT('',#10622); #4499=VERTEX_POINT('',#10626); #4500=VERTEX_POINT('',#10630); #4501=VERTEX_POINT('',#10637); #4502=VERTEX_POINT('',#10640); #4503=VERTEX_POINT('',#10643); #4504=VERTEX_POINT('',#10646); #4505=VERTEX_POINT('',#10649); #4506=VERTEX_POINT('',#10652); #4507=VERTEX_POINT('',#10655); #4508=VERTEX_POINT('',#10659); #4509=VERTEX_POINT('',#10662); #4510=VERTEX_POINT('',#10665); #4511=VERTEX_POINT('',#10668); #4512=VERTEX_POINT('',#10671); #4513=VERTEX_POINT('',#10674); #4514=VERTEX_POINT('',#10677); #4515=VERTEX_POINT('',#10683); #4516=VERTEX_POINT('',#10686); #4517=VERTEX_POINT('',#10689); #4518=VERTEX_POINT('',#10692); #4519=VERTEX_POINT('',#10695); #4520=VERTEX_POINT('',#10698); #4521=VERTEX_POINT('',#10701); #4522=VERTEX_POINT('',#10707); #4523=VERTEX_POINT('',#10710); #4524=VERTEX_POINT('',#10713); #4525=VERTEX_POINT('',#10716); #4526=VERTEX_POINT('',#10719); #4527=VERTEX_POINT('',#10722); #4528=VERTEX_POINT('',#10725); #4529=VERTEX_POINT('',#10729); #4530=VERTEX_POINT('',#10731); #4531=VERTEX_POINT('',#10735); #4532=VERTEX_POINT('',#10739); #4533=VERTEX_POINT('',#10743); #4534=VERTEX_POINT('',#10747); #4535=VERTEX_POINT('',#10751); #4536=VERTEX_POINT('',#10755); #4537=VERTEX_POINT('',#10759); #4538=VERTEX_POINT('',#10763); #4539=VERTEX_POINT('',#10767); #4540=VERTEX_POINT('',#10771); #4541=VERTEX_POINT('',#10775); #4542=VERTEX_POINT('',#10779); #4543=VERTEX_POINT('',#10783); #4544=VERTEX_POINT('',#10787); #4545=CIRCLE('',#6179,0.245); #4546=CIRCLE('',#6180,0.14); #4547=CIRCLE('',#6181,0.14); #4548=CIRCLE('',#6182,0.245); #4549=CIRCLE('',#6183,0.245); #4550=CIRCLE('',#6184,0.14); #4551=CIRCLE('',#6185,0.14); #4552=CIRCLE('',#6186,0.245); #4553=CIRCLE('',#6187,1.8); #4554=CIRCLE('',#6188,1.8); #4555=CIRCLE('',#6189,0.274694000882125); #4556=CIRCLE('',#6190,0.274694000882125); #4557=CIRCLE('',#6191,4.5); #4558=CIRCLE('',#6192,1.55); #4559=CIRCLE('',#6193,4.5); #4560=CIRCLE('',#6194,1.55); #4561=CIRCLE('',#6195,0.28); #4562=CIRCLE('',#6196,0.14); #4563=CIRCLE('',#6198,6.15); #4564=CIRCLE('',#6199,6.7); #4565=CIRCLE('',#6201,6.15); #4566=CIRCLE('',#6202,6.69999999999995); #4567=CIRCLE('',#6204,6.15); #4568=CIRCLE('',#6205,6.70000000000066); #4569=CIRCLE('',#6207,6.15); #4570=CIRCLE('',#6208,6.70000000000038); #4571=CIRCLE('',#6210,8.5); #4572=CIRCLE('',#6211,9.); #4573=CIRCLE('',#6213,8.5); #4574=CIRCLE('',#6214,9.); #4575=CIRCLE('',#6216,8.5); #4576=CIRCLE('',#6217,9.); #4577=CIRCLE('',#6219,8.5); #4578=CIRCLE('',#6220,9.); #4579=CIRCLE('',#6222,8.5); #4580=CIRCLE('',#6223,9.); #4581=CIRCLE('',#6225,8.5); #4582=CIRCLE('',#6226,9.); #4583=CIRCLE('',#6228,8.5); #4584=CIRCLE('',#6229,9.); #4585=CIRCLE('',#6231,8.5); #4586=CIRCLE('',#6232,9.); #4587=CIRCLE('',#6234,8.5); #4588=CIRCLE('',#6235,9.); #4589=CIRCLE('',#6237,8.5); #4590=CIRCLE('',#6238,9.); #4591=CIRCLE('',#6240,9.); #4592=CIRCLE('',#6242,9.); #4593=CIRCLE('',#6244,9.); #4594=CIRCLE('',#6246,9.); #4595=CIRCLE('',#6248,9.); #4596=CIRCLE('',#6250,9.); #4597=CIRCLE('',#6252,9.); #4598=CIRCLE('',#6254,9.); #4599=CIRCLE('',#6256,9.); #4600=CIRCLE('',#6258,9.); #4601=CIRCLE('',#6260,8.); #4602=CIRCLE('',#6261,8.4999999999997); #4603=CIRCLE('',#6262,8.49999999999969); #4604=CIRCLE('',#6263,8.49999999999918); #4605=CIRCLE('',#6264,8.49999999999963); #4606=CIRCLE('',#6265,8.50000000000102); #4607=CIRCLE('',#6266,8.50000000000028); #4608=CIRCLE('',#6267,8.4999999999991); #4609=CIRCLE('',#6268,8.49999999999934); #4610=CIRCLE('',#6269,8.49999999999936); #4611=CIRCLE('',#6270,8.49999999999954); #4612=CIRCLE('',#6272,9.3); #4613=CIRCLE('',#6273,0.2); #4614=CIRCLE('',#6274,11.5); #4615=CIRCLE('',#6275,0.200000000000004); #4616=CIRCLE('',#6276,0.2); #4617=CIRCLE('',#6277,11.0000000000001); #4618=CIRCLE('',#6278,0.200000000000002); #4619=CIRCLE('',#6279,0.2); #4620=CIRCLE('',#6280,11.5); #4621=CIRCLE('',#6281,0.199999999999998); #4622=CIRCLE('',#6282,0.200000000000002); #4623=CIRCLE('',#6283,10.9999999999999); #4624=CIRCLE('',#6284,0.200000000000002); #4625=CIRCLE('',#6285,0.200000000000002); #4626=CIRCLE('',#6286,11.5); #4627=CIRCLE('',#6287,0.199999999999995); #4628=CIRCLE('',#6288,0.200000000000002); #4629=CIRCLE('',#6289,11.); #4630=CIRCLE('',#6290,0.199999999999999); #4631=CIRCLE('',#6291,0.199999999999999); #4632=CIRCLE('',#6292,11.5); #4633=CIRCLE('',#6293,0.200000000000003); #4634=CIRCLE('',#6294,0.199999999999999); #4635=CIRCLE('',#6295,10.9999999999998); #4636=CIRCLE('',#6296,0.199999999999999); #4637=CIRCLE('',#6297,0.199999999999998); #4638=CIRCLE('',#6298,11.5); #4639=CIRCLE('',#6299,0.200000000000001); #4640=CIRCLE('',#6300,0.200000000000002); #4641=CIRCLE('',#6301,10.9999999999999); #4642=CIRCLE('',#6302,0.199999999999996); #4643=CIRCLE('',#6303,0.2); #4644=CIRCLE('',#6304,11.5); #4645=CIRCLE('',#6305,0.200000000000003); #4646=CIRCLE('',#6306,0.200000000000004); #4647=CIRCLE('',#6307,11.0000000000001); #4648=CIRCLE('',#6308,0.200000000000003); #4649=CIRCLE('',#6309,0.200000000000003); #4650=CIRCLE('',#6310,11.5); #4651=CIRCLE('',#6311,0.200000000000002); #4652=CIRCLE('',#6312,0.200000000000002); #4653=CIRCLE('',#6313,11.); #4654=CIRCLE('',#6314,0.199999999999999); #4655=CIRCLE('',#6315,0.200000000000003); #4656=CIRCLE('',#6316,11.5); #4657=CIRCLE('',#6317,0.200000000000002); #4658=CIRCLE('',#6318,0.200000000000002); #4659=CIRCLE('',#6319,11.0000000000001); #4660=CIRCLE('',#6320,0.199999999999999); #4661=CIRCLE('',#6321,0.2); #4662=CIRCLE('',#6322,11.5); #4663=CIRCLE('',#6323,0.200000000000003); #4664=CIRCLE('',#6324,0.2); #4665=CIRCLE('',#6325,11.0000000000001); #4666=CIRCLE('',#6326,0.2); #4667=CIRCLE('',#6327,0.199999999999997); #4668=CIRCLE('',#6328,11.5); #4669=CIRCLE('',#6329,0.199999999999999); #4670=CIRCLE('',#6330,0.2); #4671=CIRCLE('',#6331,10.9999999999999); #4672=CIRCLE('',#6332,0.200000000000001); #4673=CIRCLE('',#6334,10.5); #4674=CIRCLE('',#6335,9.38999999999999); #4675=CIRCLE('',#6336,7.9); #4676=CIRCLE('',#6337,9.39); #4677=CIRCLE('',#6338,7.9); #4678=CIRCLE('',#6339,9.39000000000003); #4679=CIRCLE('',#6340,7.9); #4680=CIRCLE('',#6342,11.5); #4681=CIRCLE('',#6344,11.5); #4682=CIRCLE('',#6345,11.5); #4683=CIRCLE('',#6346,11.5); #4684=CIRCLE('',#6347,11.5); #4685=CIRCLE('',#6348,11.5); #4686=CIRCLE('',#6349,11.5); #4687=CIRCLE('',#6350,11.5); #4688=CIRCLE('',#6351,11.5); #4689=CIRCLE('',#6352,11.5); #4690=CIRCLE('',#6353,11.5); #4691=CIRCLE('',#6355,9.3); #4692=CIRCLE('',#6357,8.5); #4693=CIRCLE('',#6360,4.5); #4694=CIRCLE('',#6362,0.200000000000004); #4695=CIRCLE('',#6365,0.2); #4696=CIRCLE('',#6367,11.0000000000001); #4697=CIRCLE('',#6369,0.200000000000002); #4698=CIRCLE('',#6371,0.2); #4699=CIRCLE('',#6375,0.199999999999998); #4700=CIRCLE('',#6378,0.200000000000002); #4701=CIRCLE('',#6380,10.9999999999999); #4702=CIRCLE('',#6382,0.200000000000002); #4703=CIRCLE('',#6385,0.200000000000002); #4704=CIRCLE('',#6388,0.199999999999995); #4705=CIRCLE('',#6391,0.200000000000002); #4706=CIRCLE('',#6393,11.); #4707=CIRCLE('',#6395,0.199999999999999); #4708=CIRCLE('',#6398,0.199999999999999); #4709=CIRCLE('',#6401,0.200000000000003); #4710=CIRCLE('',#6404,0.199999999999999); #4711=CIRCLE('',#6406,10.9999999999998); #4712=CIRCLE('',#6408,0.199999999999999); #4713=CIRCLE('',#6411,0.199999999999998); #4714=CIRCLE('',#6414,0.200000000000001); #4715=CIRCLE('',#6417,0.200000000000002); #4716=CIRCLE('',#6419,10.9999999999999); #4717=CIRCLE('',#6421,0.199999999999996); #4718=CIRCLE('',#6424,0.2); #4719=CIRCLE('',#6427,0.200000000000003); #4720=CIRCLE('',#6430,0.200000000000004); #4721=CIRCLE('',#6432,11.0000000000001); #4722=CIRCLE('',#6434,0.200000000000003); #4723=CIRCLE('',#6437,0.200000000000003); #4724=CIRCLE('',#6440,0.200000000000002); #4725=CIRCLE('',#6443,0.200000000000002); #4726=CIRCLE('',#6445,11.); #4727=CIRCLE('',#6447,0.199999999999999); #4728=CIRCLE('',#6450,0.200000000000003); #4729=CIRCLE('',#6453,0.200000000000002); #4730=CIRCLE('',#6456,0.200000000000002); #4731=CIRCLE('',#6458,11.0000000000001); #4732=CIRCLE('',#6460,0.199999999999999); #4733=CIRCLE('',#6463,0.2); #4734=CIRCLE('',#6466,0.200000000000003); #4735=CIRCLE('',#6469,0.2); #4736=CIRCLE('',#6471,11.0000000000001); #4737=CIRCLE('',#6473,0.2); #4738=CIRCLE('',#6476,0.199999999999997); #4739=CIRCLE('',#6479,0.2); #4740=CIRCLE('',#6480,0.200000000000001); #4741=CIRCLE('',#6481,10.9999999999999); #4742=CIRCLE('',#6482,0.2); #4743=CIRCLE('',#6483,0.199999999999999); #4744=CIRCLE('',#6532,4.5); #4745=CIRCLE('',#6533,4.5); #4746=CIRCLE('',#6551,0.274694000882125); #4747=CIRCLE('',#6554,0.184203588693142); #4748=CIRCLE('',#6555,0.184203588693142); #4749=CIRCLE('',#6560,0.184203588693142); #4750=CIRCLE('',#6561,0.184203588693142); #4751=CIRCLE('',#6565,0.274694000882125); #4752=CIRCLE('',#6569,7.9); #4753=CIRCLE('',#6570,9.39000000000006); #4754=CIRCLE('',#6571,7.89999999999999); #4755=CIRCLE('',#6572,9.39000000000001); #4756=CIRCLE('',#6573,7.9); #4757=CIRCLE('',#6574,9.39000000000008); #4758=CIRCLE('',#6576,6.15); #4759=CIRCLE('',#6577,6.69999999999995); #4760=CIRCLE('',#6578,6.14999999999999); #4761=CIRCLE('',#6579,6.70000000000066); #4762=CIRCLE('',#6580,6.15); #4763=CIRCLE('',#6581,6.70000000000038); #4764=CIRCLE('',#6582,6.14999999999999); #4765=CIRCLE('',#6583,6.69999999999991); #4766=CIRCLE('',#6584,6.15); #4767=CIRCLE('',#6585,6.7); #4768=CIRCLE('',#6590,6.15); #4769=CIRCLE('',#6591,6.15); #4770=CIRCLE('',#6600,6.69999999999991); #4771=CIRCLE('',#6607,5.6); #4772=CIRCLE('',#6609,5.6); #4773=CIRCLE('',#6611,5.2); #4774=CIRCLE('',#6613,5.2); #4775=CIRCLE('',#6615,1.4); #4776=CIRCLE('',#6616,0.28); #4777=CIRCLE('',#6617,0.14); #4778=CIRCLE('',#6618,0.274694000882125); #4779=CIRCLE('',#6619,0.274694000882126); #4780=CIRCLE('',#6620,1.8); #4781=CIRCLE('',#6621,1.8); #4782=CIRCLE('',#6622,1.4); #4783=CIRCLE('',#6632,0.28); #4784=CIRCLE('',#6635,0.14); #4785=CIRCLE('',#6644,1.4); #4786=CIRCLE('',#6647,1.4); #4787=CIRCLE('',#6650,1.8); #4788=CIRCLE('',#6653,1.8); #4789=CIRCLE('',#6669,0.274694000882125); #4790=CIRCLE('',#6671,0.274694000882126); #4791=CIRCLE('',#6681,0.184203588693142); #4792=CIRCLE('',#6682,0.184203588693142); #4793=CIRCLE('',#6684,0.184203588693142); #4794=CIRCLE('',#6685,0.184203588693142); #4795=CIRCLE('',#6700,0.28); #4796=CIRCLE('',#6708,0.14); #4797=CIRCLE('',#6711,0.65); #4798=CIRCLE('',#6713,0.65); #4799=CIRCLE('',#6715,0.95); #4800=CIRCLE('',#6717,0.95); #4801=CIRCLE('',#6719,1.2); #4802=CIRCLE('',#6721,1.4); #4803=CIRCLE('',#6723,1.8); #4804=CIRCLE('',#6726,1.8); #4805=CIRCLE('',#6728,1.4); #4806=CIRCLE('',#6730,1.2); #4807=CIRCLE('',#6732,0.950000000000002); #4808=CIRCLE('',#6734,0.95); #4809=CIRCLE('',#6736,0.65); #4810=CIRCLE('',#6738,0.65); #4811=CIRCLE('',#6741,1.55); #4812=CIRCLE('',#6743,0.4); #4813=CIRCLE('',#6745,0.4); #4814=CIRCLE('',#6747,0.8); #4815=CIRCLE('',#6749,0.8); #4816=CIRCLE('',#6751,1.05); #4817=CIRCLE('',#6753,1.15); #4818=CIRCLE('',#6755,1.55); #4819=CIRCLE('',#6758,1.55); #4820=CIRCLE('',#6760,1.55); #4821=CIRCLE('',#6762,1.15); #4822=CIRCLE('',#6764,1.05); #4823=CIRCLE('',#6766,0.800000000000002); #4824=CIRCLE('',#6768,0.8); #4825=CIRCLE('',#6770,0.4); #4826=CIRCLE('',#6772,0.4); #4827=CIRCLE('',#6776,0.245); #4828=CIRCLE('',#6779,0.245); #4829=CIRCLE('',#6782,0.14); #4830=CIRCLE('',#6785,0.14); #4831=CIRCLE('',#6788,0.245); #4832=CIRCLE('',#6791,0.245); #4833=CIRCLE('',#6794,0.14); #4834=CIRCLE('',#6797,0.14); #4835=EDGE_LOOP('',(#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293, #1294,#1295,#1296,#1297,#1298,#1299,#1300)); #4836=EDGE_LOOP('',(#1301)); #4837=EDGE_LOOP('',(#1302)); #4838=EDGE_LOOP('',(#1303,#1304,#1305,#1306,#1307)); #4839=EDGE_LOOP('',(#1308,#1309,#1310,#1311)); #4840=EDGE_LOOP('',(#1312,#1313,#1314,#1315,#1316,#1317,#1318,#1319)); #4841=EDGE_LOOP('',(#1320,#1321,#1322,#1323,#1324,#1325,#1326)); #4842=EDGE_LOOP('',(#1327,#1328,#1329,#1330,#1331,#1332,#1333,#1334,#1335)); #4843=EDGE_LOOP('',(#1336,#1337,#1338,#1339)); #4844=EDGE_LOOP('',(#1340,#1341,#1342,#1343)); #4845=EDGE_LOOP('',(#1344,#1345,#1346,#1347)); #4846=EDGE_LOOP('',(#1348,#1349,#1350,#1351)); #4847=EDGE_LOOP('',(#1352,#1353,#1354,#1355,#1356,#1357)); #4848=EDGE_LOOP('',(#1358,#1359,#1360,#1361,#1362,#1363)); #4849=EDGE_LOOP('',(#1364,#1365,#1366,#1367,#1368,#1369)); #4850=EDGE_LOOP('',(#1370,#1371,#1372,#1373,#1374,#1375)); #4851=EDGE_LOOP('',(#1376,#1377,#1378,#1379,#1380,#1381)); #4852=EDGE_LOOP('',(#1382,#1383,#1384,#1385,#1386,#1387)); #4853=EDGE_LOOP('',(#1388,#1389,#1390,#1391,#1392,#1393)); #4854=EDGE_LOOP('',(#1394,#1395,#1396,#1397,#1398,#1399)); #4855=EDGE_LOOP('',(#1400,#1401,#1402,#1403,#1404,#1405)); #4856=EDGE_LOOP('',(#1406,#1407,#1408,#1409,#1410,#1411)); #4857=EDGE_LOOP('',(#1412,#1413,#1414,#1415)); #4858=EDGE_LOOP('',(#1416,#1417,#1418,#1419)); #4859=EDGE_LOOP('',(#1420,#1421,#1422,#1423)); #4860=EDGE_LOOP('',(#1424,#1425,#1426,#1427)); #4861=EDGE_LOOP('',(#1428,#1429,#1430,#1431)); #4862=EDGE_LOOP('',(#1432,#1433,#1434,#1435)); #4863=EDGE_LOOP('',(#1436,#1437,#1438,#1439)); #4864=EDGE_LOOP('',(#1440,#1441,#1442,#1443)); #4865=EDGE_LOOP('',(#1444,#1445,#1446,#1447)); #4866=EDGE_LOOP('',(#1448,#1449,#1450,#1451)); #4867=EDGE_LOOP('',(#1452)); #4868=EDGE_LOOP('',(#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461, #1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473, #1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485, #1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497, #1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506,#1507,#1508,#1509, #1510,#1511,#1512)); #4869=EDGE_LOOP('',(#1513)); #4870=EDGE_LOOP('',(#1514,#1515,#1516,#1517,#1518,#1519,#1520,#1521,#1522, #1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532,#1533,#1534, #1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544,#1545,#1546, #1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556,#1557,#1558, #1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568,#1569,#1570, #1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580,#1581,#1582, #1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592,#1593)); #4871=EDGE_LOOP('',(#1594)); #4872=EDGE_LOOP('',(#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602,#1603, #1604,#1605,#1606,#1607)); #4873=EDGE_LOOP('',(#1608)); #4874=EDGE_LOOP('',(#1609)); #4875=EDGE_LOOP('',(#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617,#1618, #1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629,#1630, #1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641,#1642, #1643,#1644,#1645,#1646,#1647,#1648,#1649)); #4876=EDGE_LOOP('',(#1650)); #4877=EDGE_LOOP('',(#1651)); #4878=EDGE_LOOP('',(#1652)); #4879=EDGE_LOOP('',(#1653)); #4880=EDGE_LOOP('',(#1654)); #4881=EDGE_LOOP('',(#1655)); #4882=EDGE_LOOP('',(#1656,#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664, #1665,#1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676, #1677,#1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688, #1689,#1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700, #1701,#1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712, #1713,#1714,#1715)); #4883=EDGE_LOOP('',(#1716)); #4884=EDGE_LOOP('',(#1717)); #4885=EDGE_LOOP('',(#1718,#1719,#1720,#1721)); #4886=EDGE_LOOP('',(#1722,#1723,#1724,#1725)); #4887=EDGE_LOOP('',(#1726,#1727,#1728,#1729)); #4888=EDGE_LOOP('',(#1730,#1731,#1732,#1733)); #4889=EDGE_LOOP('',(#1734,#1735,#1736,#1737)); #4890=EDGE_LOOP('',(#1738,#1739,#1740,#1741)); #4891=EDGE_LOOP('',(#1742,#1743,#1744,#1745)); #4892=EDGE_LOOP('',(#1746,#1747,#1748,#1749,#1750,#1751,#1752,#1753)); #4893=EDGE_LOOP('',(#1754,#1755,#1756,#1757)); #4894=EDGE_LOOP('',(#1758,#1759,#1760,#1761)); #4895=EDGE_LOOP('',(#1762,#1763,#1764,#1765)); #4896=EDGE_LOOP('',(#1766,#1767,#1768,#1769)); #4897=EDGE_LOOP('',(#1770,#1771,#1772,#1773)); #4898=EDGE_LOOP('',(#1774,#1775,#1776,#1777)); #4899=EDGE_LOOP('',(#1778,#1779,#1780,#1781)); #4900=EDGE_LOOP('',(#1782,#1783,#1784,#1785,#1786,#1787,#1788,#1789)); #4901=EDGE_LOOP('',(#1790,#1791,#1792,#1793)); #4902=EDGE_LOOP('',(#1794,#1795,#1796,#1797)); #4903=EDGE_LOOP('',(#1798,#1799,#1800,#1801)); #4904=EDGE_LOOP('',(#1802,#1803,#1804,#1805)); #4905=EDGE_LOOP('',(#1806,#1807,#1808,#1809)); #4906=EDGE_LOOP('',(#1810,#1811,#1812,#1813)); #4907=EDGE_LOOP('',(#1814,#1815,#1816,#1817)); #4908=EDGE_LOOP('',(#1818,#1819,#1820,#1821,#1822,#1823,#1824,#1825)); #4909=EDGE_LOOP('',(#1826,#1827,#1828,#1829)); #4910=EDGE_LOOP('',(#1830,#1831,#1832,#1833)); #4911=EDGE_LOOP('',(#1834,#1835,#1836,#1837)); #4912=EDGE_LOOP('',(#1838,#1839,#1840,#1841)); #4913=EDGE_LOOP('',(#1842,#1843,#1844,#1845)); #4914=EDGE_LOOP('',(#1846,#1847,#1848,#1849)); #4915=EDGE_LOOP('',(#1850,#1851,#1852,#1853)); #4916=EDGE_LOOP('',(#1854,#1855,#1856,#1857,#1858,#1859,#1860,#1861)); #4917=EDGE_LOOP('',(#1862,#1863,#1864,#1865)); #4918=EDGE_LOOP('',(#1866,#1867,#1868,#1869)); #4919=EDGE_LOOP('',(#1870,#1871,#1872,#1873)); #4920=EDGE_LOOP('',(#1874,#1875,#1876,#1877)); #4921=EDGE_LOOP('',(#1878,#1879,#1880,#1881)); #4922=EDGE_LOOP('',(#1882,#1883,#1884,#1885)); #4923=EDGE_LOOP('',(#1886,#1887,#1888,#1889)); #4924=EDGE_LOOP('',(#1890,#1891,#1892,#1893,#1894,#1895,#1896,#1897)); #4925=EDGE_LOOP('',(#1898,#1899,#1900,#1901)); #4926=EDGE_LOOP('',(#1902,#1903,#1904,#1905)); #4927=EDGE_LOOP('',(#1906,#1907,#1908,#1909)); #4928=EDGE_LOOP('',(#1910,#1911,#1912,#1913)); #4929=EDGE_LOOP('',(#1914,#1915,#1916,#1917)); #4930=EDGE_LOOP('',(#1918,#1919,#1920,#1921)); #4931=EDGE_LOOP('',(#1922,#1923,#1924,#1925)); #4932=EDGE_LOOP('',(#1926,#1927,#1928,#1929,#1930,#1931,#1932,#1933)); #4933=EDGE_LOOP('',(#1934,#1935,#1936,#1937)); #4934=EDGE_LOOP('',(#1938,#1939,#1940,#1941)); #4935=EDGE_LOOP('',(#1942,#1943,#1944,#1945)); #4936=EDGE_LOOP('',(#1946,#1947,#1948,#1949)); #4937=EDGE_LOOP('',(#1950,#1951,#1952,#1953)); #4938=EDGE_LOOP('',(#1954,#1955,#1956,#1957)); #4939=EDGE_LOOP('',(#1958,#1959,#1960,#1961)); #4940=EDGE_LOOP('',(#1962,#1963,#1964,#1965,#1966,#1967,#1968,#1969)); #4941=EDGE_LOOP('',(#1970,#1971,#1972,#1973)); #4942=EDGE_LOOP('',(#1974,#1975,#1976,#1977)); #4943=EDGE_LOOP('',(#1978,#1979,#1980,#1981)); #4944=EDGE_LOOP('',(#1982,#1983,#1984,#1985)); #4945=EDGE_LOOP('',(#1986,#1987,#1988,#1989)); #4946=EDGE_LOOP('',(#1990,#1991,#1992,#1993)); #4947=EDGE_LOOP('',(#1994,#1995,#1996,#1997)); #4948=EDGE_LOOP('',(#1998,#1999,#2000,#2001,#2002,#2003,#2004,#2005)); #4949=EDGE_LOOP('',(#2006,#2007,#2008,#2009)); #4950=EDGE_LOOP('',(#2010,#2011,#2012,#2013)); #4951=EDGE_LOOP('',(#2014,#2015,#2016,#2017)); #4952=EDGE_LOOP('',(#2018,#2019,#2020,#2021)); #4953=EDGE_LOOP('',(#2022,#2023,#2024,#2025)); #4954=EDGE_LOOP('',(#2026,#2027,#2028,#2029)); #4955=EDGE_LOOP('',(#2030,#2031,#2032,#2033)); #4956=EDGE_LOOP('',(#2034,#2035,#2036,#2037,#2038,#2039,#2040,#2041)); #4957=EDGE_LOOP('',(#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049)); #4958=EDGE_LOOP('',(#2050,#2051,#2052,#2053)); #4959=EDGE_LOOP('',(#2054,#2055,#2056,#2057)); #4960=EDGE_LOOP('',(#2058,#2059,#2060,#2061)); #4961=EDGE_LOOP('',(#2062,#2063,#2064,#2065)); #4962=EDGE_LOOP('',(#2066,#2067,#2068,#2069)); #4963=EDGE_LOOP('',(#2070,#2071,#2072,#2073)); #4964=EDGE_LOOP('',(#2074,#2075,#2076,#2077)); #4965=EDGE_LOOP('',(#2078,#2079,#2080,#2081)); #4966=EDGE_LOOP('',(#2082,#2083,#2084,#2085,#2086)); #4967=EDGE_LOOP('',(#2087,#2088,#2089,#2090,#2091)); #4968=EDGE_LOOP('',(#2092,#2093,#2094,#2095)); #4969=EDGE_LOOP('',(#2096,#2097,#2098,#2099)); #4970=EDGE_LOOP('',(#2100,#2101,#2102,#2103,#2104)); #4971=EDGE_LOOP('',(#2105,#2106,#2107,#2108,#2109)); #4972=EDGE_LOOP('',(#2110,#2111,#2112,#2113)); #4973=EDGE_LOOP('',(#2114,#2115,#2116,#2117)); #4974=EDGE_LOOP('',(#2118,#2119,#2120,#2121,#2122)); #4975=EDGE_LOOP('',(#2123,#2124,#2125,#2126,#2127)); #4976=EDGE_LOOP('',(#2128,#2129,#2130,#2131)); #4977=EDGE_LOOP('',(#2132,#2133,#2134,#2135)); #4978=EDGE_LOOP('',(#2136,#2137,#2138,#2139,#2140)); #4979=EDGE_LOOP('',(#2141,#2142,#2143,#2144,#2145)); #4980=EDGE_LOOP('',(#2146,#2147,#2148,#2149)); #4981=EDGE_LOOP('',(#2150,#2151,#2152,#2153)); #4982=EDGE_LOOP('',(#2154,#2155,#2156,#2157,#2158)); #4983=EDGE_LOOP('',(#2159,#2160,#2161,#2162,#2163)); #4984=EDGE_LOOP('',(#2164,#2165,#2166,#2167)); #4985=EDGE_LOOP('',(#2168,#2169,#2170,#2171)); #4986=EDGE_LOOP('',(#2172,#2173,#2174,#2175,#2176)); #4987=EDGE_LOOP('',(#2177,#2178,#2179,#2180,#2181)); #4988=EDGE_LOOP('',(#2182,#2183,#2184,#2185)); #4989=EDGE_LOOP('',(#2186,#2187,#2188,#2189)); #4990=EDGE_LOOP('',(#2190,#2191,#2192,#2193,#2194)); #4991=EDGE_LOOP('',(#2195,#2196,#2197,#2198,#2199)); #4992=EDGE_LOOP('',(#2200,#2201,#2202,#2203)); #4993=EDGE_LOOP('',(#2204,#2205,#2206,#2207)); #4994=EDGE_LOOP('',(#2208,#2209,#2210,#2211,#2212)); #4995=EDGE_LOOP('',(#2213,#2214,#2215,#2216,#2217)); #4996=EDGE_LOOP('',(#2218,#2219,#2220,#2221)); #4997=EDGE_LOOP('',(#2222,#2223,#2224,#2225)); #4998=EDGE_LOOP('',(#2226,#2227,#2228,#2229,#2230)); #4999=EDGE_LOOP('',(#2231,#2232,#2233,#2234,#2235)); #5000=EDGE_LOOP('',(#2236,#2237,#2238,#2239)); #5001=EDGE_LOOP('',(#2240,#2241,#2242,#2243)); #5002=EDGE_LOOP('',(#2244,#2245,#2246,#2247,#2248)); #5003=EDGE_LOOP('',(#2249,#2250,#2251,#2252,#2253)); #5004=EDGE_LOOP('',(#2254,#2255,#2256,#2257)); #5005=EDGE_LOOP('',(#2258,#2259,#2260,#2261)); #5006=EDGE_LOOP('',(#2262)); #5007=EDGE_LOOP('',(#2263,#2264,#2265,#2266)); #5008=EDGE_LOOP('',(#2267,#2268,#2269,#2270)); #5009=EDGE_LOOP('',(#2271,#2272,#2273,#2274)); #5010=EDGE_LOOP('',(#2275,#2276,#2277,#2278)); #5011=EDGE_LOOP('',(#2279,#2280,#2281,#2282)); #5012=EDGE_LOOP('',(#2283,#2284,#2285,#2286)); #5013=EDGE_LOOP('',(#2287,#2288,#2289,#2290)); #5014=EDGE_LOOP('',(#2291,#2292,#2293,#2294)); #5015=EDGE_LOOP('',(#2295,#2296,#2297,#2298)); #5016=EDGE_LOOP('',(#2299,#2300,#2301,#2302)); #5017=EDGE_LOOP('',(#2303,#2304,#2305,#2306)); #5018=EDGE_LOOP('',(#2307,#2308,#2309)); #5019=EDGE_LOOP('',(#2310,#2311,#2312,#2313,#2314,#2315,#2316,#2317)); #5020=EDGE_LOOP('',(#2318,#2319,#2320)); #5021=EDGE_LOOP('',(#2321,#2322,#2323,#2324)); #5022=EDGE_LOOP('',(#2325,#2326,#2327,#2328)); #5023=EDGE_LOOP('',(#2329,#2330,#2331,#2332)); #5024=EDGE_LOOP('',(#2333,#2334,#2335,#2336)); #5025=EDGE_LOOP('',(#2337,#2338,#2339,#2340)); #5026=EDGE_LOOP('',(#2341,#2342,#2343,#2344)); #5027=EDGE_LOOP('',(#2345,#2346,#2347,#2348)); #5028=EDGE_LOOP('',(#2349,#2350,#2351,#2352)); #5029=EDGE_LOOP('',(#2353,#2354,#2355,#2356)); #5030=EDGE_LOOP('',(#2357,#2358,#2359,#2360)); #5031=EDGE_LOOP('',(#2361,#2362,#2363,#2364)); #5032=EDGE_LOOP('',(#2365,#2366,#2367,#2368)); #5033=EDGE_LOOP('',(#2369,#2370,#2371,#2372)); #5034=EDGE_LOOP('',(#2373,#2374,#2375,#2376)); #5035=EDGE_LOOP('',(#2377,#2378,#2379,#2380)); #5036=EDGE_LOOP('',(#2381,#2382,#2383,#2384,#2385)); #5037=EDGE_LOOP('',(#2386,#2387,#2388,#2389)); #5038=EDGE_LOOP('',(#2390,#2391,#2392,#2393)); #5039=EDGE_LOOP('',(#2394,#2395,#2396,#2397)); #5040=EDGE_LOOP('',(#2398,#2399,#2400,#2401,#2402)); #5041=EDGE_LOOP('',(#2403,#2404,#2405,#2406)); #5042=EDGE_LOOP('',(#2407,#2408,#2409,#2410)); #5043=EDGE_LOOP('',(#2411,#2412,#2413,#2414)); #5044=EDGE_LOOP('',(#2415,#2416,#2417,#2418)); #5045=EDGE_LOOP('',(#2419,#2420,#2421,#2422)); #5046=EDGE_LOOP('',(#2423,#2424,#2425,#2426)); #5047=EDGE_LOOP('',(#2427,#2428,#2429,#2430)); #5048=EDGE_LOOP('',(#2431,#2432,#2433,#2434)); #5049=EDGE_LOOP('',(#2435,#2436,#2437,#2438)); #5050=EDGE_LOOP('',(#2439,#2440,#2441,#2442)); #5051=EDGE_LOOP('',(#2443,#2444,#2445,#2446,#2447,#2448,#2449,#2450,#2451, #2452,#2453,#2454,#2455,#2456,#2457,#2458,#2459,#2460,#2461,#2462)); #5052=EDGE_LOOP('',(#2463,#2464,#2465,#2466,#2467,#2468,#2469,#2470,#2471, #2472,#2473,#2474)); #5053=EDGE_LOOP('',(#2475,#2476,#2477,#2478)); #5054=EDGE_LOOP('',(#2479,#2480,#2481,#2482)); #5055=EDGE_LOOP('',(#2483,#2484,#2485,#2486)); #5056=EDGE_LOOP('',(#2487,#2488,#2489,#2490,#2491,#2492,#2493,#2494,#2495, #2496,#2497,#2498,#2499,#2500,#2501,#2502,#2503,#2504,#2505,#2506)); #5057=EDGE_LOOP('',(#2507)); #5058=EDGE_LOOP('',(#2508,#2509,#2510,#2511)); #5059=EDGE_LOOP('',(#2512,#2513,#2514,#2515)); #5060=EDGE_LOOP('',(#2516,#2517,#2518,#2519)); #5061=EDGE_LOOP('',(#2520,#2521,#2522,#2523)); #5062=EDGE_LOOP('',(#2524,#2525,#2526,#2527)); #5063=EDGE_LOOP('',(#2528,#2529,#2530,#2531)); #5064=EDGE_LOOP('',(#2532,#2533,#2534,#2535)); #5065=EDGE_LOOP('',(#2536,#2537,#2538,#2539)); #5066=EDGE_LOOP('',(#2540,#2541,#2542,#2543)); #5067=EDGE_LOOP('',(#2544,#2545,#2546,#2547)); #5068=EDGE_LOOP('',(#2548,#2549,#2550,#2551)); #5069=EDGE_LOOP('',(#2552,#2553,#2554,#2555)); #5070=EDGE_LOOP('',(#2556,#2557,#2558,#2559)); #5071=EDGE_LOOP('',(#2560)); #5072=EDGE_LOOP('',(#2561)); #5073=EDGE_LOOP('',(#2562)); #5074=EDGE_LOOP('',(#2563)); #5075=EDGE_LOOP('',(#2564)); #5076=EDGE_LOOP('',(#2565)); #5077=EDGE_LOOP('',(#2566)); #5078=EDGE_LOOP('',(#2567)); #5079=EDGE_LOOP('',(#2568)); #5080=EDGE_LOOP('',(#2569,#2570,#2571,#2572,#2573,#2574,#2575,#2576,#2577)); #5081=EDGE_LOOP('',(#2578,#2579,#2580,#2581,#2582,#2583,#2584)); #5082=EDGE_LOOP('',(#2585,#2586,#2587,#2588,#2589)); #5083=EDGE_LOOP('',(#2590,#2591,#2592,#2593,#2594,#2595,#2596,#2597)); #5084=EDGE_LOOP('',(#2598)); #5085=EDGE_LOOP('',(#2599)); #5086=EDGE_LOOP('',(#2600)); #5087=EDGE_LOOP('',(#2601)); #5088=EDGE_LOOP('',(#2602,#2603,#2604,#2605)); #5089=EDGE_LOOP('',(#2606,#2607,#2608,#2609)); #5090=EDGE_LOOP('',(#2610,#2611,#2612,#2613)); #5091=EDGE_LOOP('',(#2614,#2615,#2616,#2617)); #5092=EDGE_LOOP('',(#2618,#2619,#2620,#2621)); #5093=EDGE_LOOP('',(#2622,#2623,#2624,#2625)); #5094=EDGE_LOOP('',(#2626,#2627,#2628,#2629)); #5095=EDGE_LOOP('',(#2630,#2631,#2632,#2633,#2634,#2635,#2636)); #5096=EDGE_LOOP('',(#2637,#2638,#2639,#2640)); #5097=EDGE_LOOP('',(#2641,#2642,#2643,#2644)); #5098=EDGE_LOOP('',(#2645,#2646,#2647,#2648)); #5099=EDGE_LOOP('',(#2649,#2650,#2651,#2652)); #5100=EDGE_LOOP('',(#2653,#2654,#2655,#2656)); #5101=EDGE_LOOP('',(#2657,#2658,#2659,#2660)); #5102=EDGE_LOOP('',(#2661,#2662,#2663,#2664)); #5103=EDGE_LOOP('',(#2665,#2666,#2667,#2668)); #5104=EDGE_LOOP('',(#2669,#2670,#2671,#2672)); #5105=EDGE_LOOP('',(#2673,#2674,#2675,#2676,#2677,#2678,#2679,#2680,#2681)); #5106=EDGE_LOOP('',(#2682)); #5107=EDGE_LOOP('',(#2683)); #5108=EDGE_LOOP('',(#2684)); #5109=EDGE_LOOP('',(#2685)); #5110=EDGE_LOOP('',(#2686)); #5111=EDGE_LOOP('',(#2687)); #5112=EDGE_LOOP('',(#2688)); #5113=EDGE_LOOP('',(#2689)); #5114=EDGE_LOOP('',(#2690)); #5115=EDGE_LOOP('',(#2691)); #5116=EDGE_LOOP('',(#2692)); #5117=EDGE_LOOP('',(#2693)); #5118=EDGE_LOOP('',(#2694,#2695,#2696,#2697)); #5119=EDGE_LOOP('',(#2698,#2699,#2700,#2701)); #5120=EDGE_LOOP('',(#2702,#2703,#2704,#2705)); #5121=EDGE_LOOP('',(#2706,#2707,#2708,#2709)); #5122=EDGE_LOOP('',(#2710,#2711,#2712,#2713)); #5123=EDGE_LOOP('',(#2714,#2715,#2716,#2717)); #5124=EDGE_LOOP('',(#2718,#2719,#2720,#2721)); #5125=EDGE_LOOP('',(#2722,#2723,#2724,#2725)); #5126=EDGE_LOOP('',(#2726,#2727,#2728,#2729)); #5127=EDGE_LOOP('',(#2730,#2731,#2732,#2733)); #5128=EDGE_LOOP('',(#2734,#2735,#2736,#2737)); #5129=EDGE_LOOP('',(#2738,#2739,#2740)); #5130=EDGE_LOOP('',(#2741,#2742,#2743,#2744,#2745,#2746,#2747,#2748)); #5131=EDGE_LOOP('',(#2749,#2750,#2751)); #5132=EDGE_LOOP('',(#2752,#2753,#2754,#2755)); #5133=EDGE_LOOP('',(#2756,#2757,#2758,#2759)); #5134=EDGE_LOOP('',(#2760,#2761,#2762,#2763)); #5135=EDGE_LOOP('',(#2764,#2765,#2766,#2767)); #5136=EDGE_LOOP('',(#2768,#2769,#2770,#2771)); #5137=EDGE_LOOP('',(#2772,#2773,#2774,#2775)); #5138=EDGE_LOOP('',(#2776,#2777,#2778,#2779)); #5139=EDGE_LOOP('',(#2780,#2781,#2782,#2783)); #5140=EDGE_LOOP('',(#2784,#2785,#2786,#2787)); #5141=EDGE_LOOP('',(#2788,#2789,#2790,#2791)); #5142=EDGE_LOOP('',(#2792,#2793,#2794,#2795)); #5143=EDGE_LOOP('',(#2796,#2797,#2798,#2799)); #5144=EDGE_LOOP('',(#2800,#2801,#2802,#2803)); #5145=EDGE_LOOP('',(#2804,#2805,#2806,#2807)); #5146=EDGE_LOOP('',(#2808,#2809,#2810,#2811)); #5147=EDGE_LOOP('',(#2812,#2813,#2814,#2815,#2816)); #5148=EDGE_LOOP('',(#2817,#2818,#2819,#2820)); #5149=EDGE_LOOP('',(#2821,#2822,#2823,#2824)); #5150=EDGE_LOOP('',(#2825,#2826,#2827,#2828)); #5151=EDGE_LOOP('',(#2829,#2830,#2831,#2832)); #5152=EDGE_LOOP('',(#2833,#2834,#2835,#2836)); #5153=EDGE_LOOP('',(#2837,#2838,#2839,#2840)); #5154=EDGE_LOOP('',(#2841,#2842,#2843,#2844)); #5155=EDGE_LOOP('',(#2845,#2846,#2847,#2848)); #5156=EDGE_LOOP('',(#2849,#2850,#2851,#2852)); #5157=EDGE_LOOP('',(#2853,#2854,#2855,#2856,#2857,#2858,#2859)); #5158=EDGE_LOOP('',(#2860,#2861,#2862,#2863)); #5159=EDGE_LOOP('',(#2864,#2865,#2866,#2867)); #5160=EDGE_LOOP('',(#2868,#2869,#2870,#2871)); #5161=EDGE_LOOP('',(#2872,#2873,#2874,#2875)); #5162=EDGE_LOOP('',(#2876,#2877,#2878,#2879)); #5163=EDGE_LOOP('',(#2880,#2881,#2882,#2883)); #5164=EDGE_LOOP('',(#2884,#2885,#2886,#2887)); #5165=EDGE_LOOP('',(#2888,#2889,#2890,#2891)); #5166=EDGE_LOOP('',(#2892,#2893,#2894,#2895)); #5167=EDGE_LOOP('',(#2896,#2897,#2898,#2899,#2900,#2901,#2902,#2903,#2904)); #5168=EDGE_LOOP('',(#2905)); #5169=EDGE_LOOP('',(#2906)); #5170=EDGE_LOOP('',(#2907)); #5171=EDGE_LOOP('',(#2908)); #5172=EDGE_LOOP('',(#2909)); #5173=EDGE_LOOP('',(#2910)); #5174=EDGE_LOOP('',(#2911)); #5175=EDGE_LOOP('',(#2912)); #5176=EDGE_LOOP('',(#2913)); #5177=EDGE_LOOP('',(#2914)); #5178=EDGE_LOOP('',(#2915)); #5179=EDGE_LOOP('',(#2916)); #5180=EDGE_LOOP('',(#2917)); #5181=EDGE_LOOP('',(#2918)); #5182=EDGE_LOOP('',(#2919)); #5183=EDGE_LOOP('',(#2920)); #5184=EDGE_LOOP('',(#2921)); #5185=EDGE_LOOP('',(#2922)); #5186=EDGE_LOOP('',(#2923)); #5187=EDGE_LOOP('',(#2924)); #5188=EDGE_LOOP('',(#2925)); #5189=EDGE_LOOP('',(#2926)); #5190=EDGE_LOOP('',(#2927)); #5191=EDGE_LOOP('',(#2928)); #5192=EDGE_LOOP('',(#2929)); #5193=EDGE_LOOP('',(#2930)); #5194=EDGE_LOOP('',(#2931)); #5195=EDGE_LOOP('',(#2932)); #5196=EDGE_LOOP('',(#2933)); #5197=EDGE_LOOP('',(#2934)); #5198=EDGE_LOOP('',(#2935,#2936)); #5199=EDGE_LOOP('',(#2937)); #5200=EDGE_LOOP('',(#2938)); #5201=EDGE_LOOP('',(#2939)); #5202=EDGE_LOOP('',(#2940)); #5203=EDGE_LOOP('',(#2941)); #5204=EDGE_LOOP('',(#2942)); #5205=EDGE_LOOP('',(#2943)); #5206=EDGE_LOOP('',(#2944)); #5207=EDGE_LOOP('',(#2945)); #5208=EDGE_LOOP('',(#2946)); #5209=EDGE_LOOP('',(#2947)); #5210=EDGE_LOOP('',(#2948)); #5211=EDGE_LOOP('',(#2949)); #5212=EDGE_LOOP('',(#2950,#2951)); #5213=EDGE_LOOP('',(#2952)); #5214=EDGE_LOOP('',(#2953,#2954)); #5215=EDGE_LOOP('',(#2955)); #5216=EDGE_LOOP('',(#2956,#2957)); #5217=EDGE_LOOP('',(#2958)); #5218=EDGE_LOOP('',(#2959)); #5219=EDGE_LOOP('',(#2960)); #5220=EDGE_LOOP('',(#2961)); #5221=EDGE_LOOP('',(#2962)); #5222=EDGE_LOOP('',(#2963)); #5223=EDGE_LOOP('',(#2964)); #5224=EDGE_LOOP('',(#2965)); #5225=EDGE_LOOP('',(#2966)); #5226=EDGE_LOOP('',(#2967)); #5227=EDGE_LOOP('',(#2968)); #5228=EDGE_LOOP('',(#2969)); #5229=EDGE_LOOP('',(#2970)); #5230=EDGE_LOOP('',(#2971,#2972,#2973,#2974)); #5231=EDGE_LOOP('',(#2975,#2976,#2977,#2978)); #5232=EDGE_LOOP('',(#2979,#2980,#2981,#2982)); #5233=EDGE_LOOP('',(#2983,#2984,#2985,#2986)); #5234=EDGE_LOOP('',(#2987,#2988,#2989,#2990)); #5235=EDGE_LOOP('',(#2991,#2992,#2993,#2994)); #5236=EDGE_LOOP('',(#2995,#2996,#2997,#2998)); #5237=EDGE_LOOP('',(#2999,#3000,#3001,#3002)); #5238=EDGE_LOOP('',(#3003,#3004,#3005,#3006)); #5239=EDGE_LOOP('',(#3007,#3008,#3009,#3010)); #5240=EDGE_LOOP('',(#3011,#3012,#3013,#3014)); #5241=EDGE_LOOP('',(#3015,#3016,#3017,#3018)); #5242=EDGE_LOOP('',(#3019,#3020,#3021,#3022)); #5243=EDGE_LOOP('',(#3023,#3024,#3025,#3026)); #5244=EDGE_LOOP('',(#3027,#3028,#3029,#3030)); #5245=EDGE_LOOP('',(#3031,#3032,#3033,#3034)); #5246=EDGE_LOOP('',(#3035,#3036,#3037,#3038,#3039,#3040,#3041,#3042,#3043, #3044,#3045,#3046,#3047,#3048,#3049,#3050)); #5247=FACE_BOUND('',#4835,.T.); #5248=FACE_BOUND('',#4836,.T.); #5249=FACE_BOUND('',#4837,.T.); #5250=FACE_BOUND('',#4838,.T.); #5251=FACE_BOUND('',#4839,.T.); #5252=FACE_BOUND('',#4840,.T.); #5253=FACE_BOUND('',#4841,.T.); #5254=FACE_BOUND('',#4842,.T.); #5255=FACE_BOUND('',#4843,.T.); #5256=FACE_BOUND('',#4844,.T.); #5257=FACE_BOUND('',#4845,.T.); #5258=FACE_BOUND('',#4846,.T.); #5259=FACE_BOUND('',#4847,.T.); #5260=FACE_BOUND('',#4848,.T.); #5261=FACE_BOUND('',#4849,.T.); #5262=FACE_BOUND('',#4850,.T.); #5263=FACE_BOUND('',#4851,.T.); #5264=FACE_BOUND('',#4852,.T.); #5265=FACE_BOUND('',#4853,.T.); #5266=FACE_BOUND('',#4854,.T.); #5267=FACE_BOUND('',#4855,.T.); #5268=FACE_BOUND('',#4856,.T.); #5269=FACE_BOUND('',#4857,.T.); #5270=FACE_BOUND('',#4858,.T.); #5271=FACE_BOUND('',#4859,.T.); #5272=FACE_BOUND('',#4860,.T.); #5273=FACE_BOUND('',#4861,.T.); #5274=FACE_BOUND('',#4862,.T.); #5275=FACE_BOUND('',#4863,.T.); #5276=FACE_BOUND('',#4864,.T.); #5277=FACE_BOUND('',#4865,.T.); #5278=FACE_BOUND('',#4866,.T.); #5279=FACE_BOUND('',#4867,.T.); #5280=FACE_BOUND('',#4868,.T.); #5281=FACE_BOUND('',#4869,.T.); #5282=FACE_BOUND('',#4870,.T.); #5283=FACE_BOUND('',#4871,.T.); #5284=FACE_BOUND('',#4872,.T.); #5285=FACE_BOUND('',#4873,.T.); #5286=FACE_BOUND('',#4874,.T.); #5287=FACE_BOUND('',#4875,.T.); #5288=FACE_BOUND('',#4876,.T.); #5289=FACE_BOUND('',#4877,.T.); #5290=FACE_BOUND('',#4878,.T.); #5291=FACE_BOUND('',#4879,.T.); #5292=FACE_BOUND('',#4880,.T.); #5293=FACE_BOUND('',#4881,.T.); #5294=FACE_BOUND('',#4882,.T.); #5295=FACE_BOUND('',#4883,.T.); #5296=FACE_BOUND('',#4884,.T.); #5297=FACE_BOUND('',#4885,.T.); #5298=FACE_BOUND('',#4886,.T.); #5299=FACE_BOUND('',#4887,.T.); #5300=FACE_BOUND('',#4888,.T.); #5301=FACE_BOUND('',#4889,.T.); #5302=FACE_BOUND('',#4890,.T.); #5303=FACE_BOUND('',#4891,.T.); #5304=FACE_BOUND('',#4892,.T.); #5305=FACE_BOUND('',#4893,.T.); #5306=FACE_BOUND('',#4894,.T.); #5307=FACE_BOUND('',#4895,.T.); #5308=FACE_BOUND('',#4896,.T.); #5309=FACE_BOUND('',#4897,.T.); #5310=FACE_BOUND('',#4898,.T.); #5311=FACE_BOUND('',#4899,.T.); #5312=FACE_BOUND('',#4900,.T.); #5313=FACE_BOUND('',#4901,.T.); #5314=FACE_BOUND('',#4902,.T.); #5315=FACE_BOUND('',#4903,.T.); #5316=FACE_BOUND('',#4904,.T.); #5317=FACE_BOUND('',#4905,.T.); #5318=FACE_BOUND('',#4906,.T.); #5319=FACE_BOUND('',#4907,.T.); #5320=FACE_BOUND('',#4908,.T.); #5321=FACE_BOUND('',#4909,.T.); #5322=FACE_BOUND('',#4910,.T.); #5323=FACE_BOUND('',#4911,.T.); #5324=FACE_BOUND('',#4912,.T.); #5325=FACE_BOUND('',#4913,.T.); #5326=FACE_BOUND('',#4914,.T.); #5327=FACE_BOUND('',#4915,.T.); #5328=FACE_BOUND('',#4916,.T.); #5329=FACE_BOUND('',#4917,.T.); #5330=FACE_BOUND('',#4918,.T.); #5331=FACE_BOUND('',#4919,.T.); #5332=FACE_BOUND('',#4920,.T.); #5333=FACE_BOUND('',#4921,.T.); #5334=FACE_BOUND('',#4922,.T.); #5335=FACE_BOUND('',#4923,.T.); #5336=FACE_BOUND('',#4924,.T.); #5337=FACE_BOUND('',#4925,.T.); #5338=FACE_BOUND('',#4926,.T.); #5339=FACE_BOUND('',#4927,.T.); #5340=FACE_BOUND('',#4928,.T.); #5341=FACE_BOUND('',#4929,.T.); #5342=FACE_BOUND('',#4930,.T.); #5343=FACE_BOUND('',#4931,.T.); #5344=FACE_BOUND('',#4932,.T.); #5345=FACE_BOUND('',#4933,.T.); #5346=FACE_BOUND('',#4934,.T.); #5347=FACE_BOUND('',#4935,.T.); #5348=FACE_BOUND('',#4936,.T.); #5349=FACE_BOUND('',#4937,.T.); #5350=FACE_BOUND('',#4938,.T.); #5351=FACE_BOUND('',#4939,.T.); #5352=FACE_BOUND('',#4940,.T.); #5353=FACE_BOUND('',#4941,.T.); #5354=FACE_BOUND('',#4942,.T.); #5355=FACE_BOUND('',#4943,.T.); #5356=FACE_BOUND('',#4944,.T.); #5357=FACE_BOUND('',#4945,.T.); #5358=FACE_BOUND('',#4946,.T.); #5359=FACE_BOUND('',#4947,.T.); #5360=FACE_BOUND('',#4948,.T.); #5361=FACE_BOUND('',#4949,.T.); #5362=FACE_BOUND('',#4950,.T.); #5363=FACE_BOUND('',#4951,.T.); #5364=FACE_BOUND('',#4952,.T.); #5365=FACE_BOUND('',#4953,.T.); #5366=FACE_BOUND('',#4954,.T.); #5367=FACE_BOUND('',#4955,.T.); #5368=FACE_BOUND('',#4956,.T.); #5369=FACE_BOUND('',#4957,.T.); #5370=FACE_BOUND('',#4958,.T.); #5371=FACE_BOUND('',#4959,.T.); #5372=FACE_BOUND('',#4960,.T.); #5373=FACE_BOUND('',#4961,.T.); #5374=FACE_BOUND('',#4962,.T.); #5375=FACE_BOUND('',#4963,.T.); #5376=FACE_BOUND('',#4964,.T.); #5377=FACE_BOUND('',#4965,.T.); #5378=FACE_BOUND('',#4966,.T.); #5379=FACE_BOUND('',#4967,.T.); #5380=FACE_BOUND('',#4968,.T.); #5381=FACE_BOUND('',#4969,.T.); #5382=FACE_BOUND('',#4970,.T.); #5383=FACE_BOUND('',#4971,.T.); #5384=FACE_BOUND('',#4972,.T.); #5385=FACE_BOUND('',#4973,.T.); #5386=FACE_BOUND('',#4974,.T.); #5387=FACE_BOUND('',#4975,.T.); #5388=FACE_BOUND('',#4976,.T.); #5389=FACE_BOUND('',#4977,.T.); #5390=FACE_BOUND('',#4978,.T.); #5391=FACE_BOUND('',#4979,.T.); #5392=FACE_BOUND('',#4980,.T.); #5393=FACE_BOUND('',#4981,.T.); #5394=FACE_BOUND('',#4982,.T.); #5395=FACE_BOUND('',#4983,.T.); #5396=FACE_BOUND('',#4984,.T.); #5397=FACE_BOUND('',#4985,.T.); #5398=FACE_BOUND('',#4986,.T.); #5399=FACE_BOUND('',#4987,.T.); #5400=FACE_BOUND('',#4988,.T.); #5401=FACE_BOUND('',#4989,.T.); #5402=FACE_BOUND('',#4990,.T.); #5403=FACE_BOUND('',#4991,.T.); #5404=FACE_BOUND('',#4992,.T.); #5405=FACE_BOUND('',#4993,.T.); #5406=FACE_BOUND('',#4994,.T.); #5407=FACE_BOUND('',#4995,.T.); #5408=FACE_BOUND('',#4996,.T.); #5409=FACE_BOUND('',#4997,.T.); #5410=FACE_BOUND('',#4998,.T.); #5411=FACE_BOUND('',#4999,.T.); #5412=FACE_BOUND('',#5000,.T.); #5413=FACE_BOUND('',#5001,.T.); #5414=FACE_BOUND('',#5002,.T.); #5415=FACE_BOUND('',#5003,.T.); #5416=FACE_BOUND('',#5004,.T.); #5417=FACE_BOUND('',#5005,.T.); #5418=FACE_BOUND('',#5006,.T.); #5419=FACE_BOUND('',#5007,.T.); #5420=FACE_BOUND('',#5008,.T.); #5421=FACE_BOUND('',#5009,.T.); #5422=FACE_BOUND('',#5010,.T.); #5423=FACE_BOUND('',#5011,.T.); #5424=FACE_BOUND('',#5012,.T.); #5425=FACE_BOUND('',#5013,.T.); #5426=FACE_BOUND('',#5014,.T.); #5427=FACE_BOUND('',#5015,.T.); #5428=FACE_BOUND('',#5016,.T.); #5429=FACE_BOUND('',#5017,.T.); #5430=FACE_BOUND('',#5018,.T.); #5431=FACE_BOUND('',#5019,.T.); #5432=FACE_BOUND('',#5020,.T.); #5433=FACE_BOUND('',#5021,.T.); #5434=FACE_BOUND('',#5022,.T.); #5435=FACE_BOUND('',#5023,.T.); #5436=FACE_BOUND('',#5024,.T.); #5437=FACE_BOUND('',#5025,.T.); #5438=FACE_BOUND('',#5026,.T.); #5439=FACE_BOUND('',#5027,.T.); #5440=FACE_BOUND('',#5028,.T.); #5441=FACE_BOUND('',#5029,.T.); #5442=FACE_BOUND('',#5030,.T.); #5443=FACE_BOUND('',#5031,.T.); #5444=FACE_BOUND('',#5032,.T.); #5445=FACE_BOUND('',#5033,.T.); #5446=FACE_BOUND('',#5034,.T.); #5447=FACE_BOUND('',#5035,.T.); #5448=FACE_BOUND('',#5036,.T.); #5449=FACE_BOUND('',#5037,.T.); #5450=FACE_BOUND('',#5038,.T.); #5451=FACE_BOUND('',#5039,.T.); #5452=FACE_BOUND('',#5040,.T.); #5453=FACE_BOUND('',#5041,.T.); #5454=FACE_BOUND('',#5042,.T.); #5455=FACE_BOUND('',#5043,.T.); #5456=FACE_BOUND('',#5044,.T.); #5457=FACE_BOUND('',#5045,.T.); #5458=FACE_BOUND('',#5046,.T.); #5459=FACE_BOUND('',#5047,.T.); #5460=FACE_BOUND('',#5048,.T.); #5461=FACE_BOUND('',#5049,.T.); #5462=FACE_BOUND('',#5050,.T.); #5463=FACE_BOUND('',#5051,.T.); #5464=FACE_BOUND('',#5052,.T.); #5465=FACE_BOUND('',#5053,.T.); #5466=FACE_BOUND('',#5054,.T.); #5467=FACE_BOUND('',#5055,.T.); #5468=FACE_BOUND('',#5056,.T.); #5469=FACE_BOUND('',#5057,.T.); #5470=FACE_BOUND('',#5058,.T.); #5471=FACE_BOUND('',#5059,.T.); #5472=FACE_BOUND('',#5060,.T.); #5473=FACE_BOUND('',#5061,.T.); #5474=FACE_BOUND('',#5062,.T.); #5475=FACE_BOUND('',#5063,.T.); #5476=FACE_BOUND('',#5064,.T.); #5477=FACE_BOUND('',#5065,.T.); #5478=FACE_BOUND('',#5066,.T.); #5479=FACE_BOUND('',#5067,.T.); #5480=FACE_BOUND('',#5068,.T.); #5481=FACE_BOUND('',#5069,.T.); #5482=FACE_BOUND('',#5070,.T.); #5483=FACE_BOUND('',#5071,.T.); #5484=FACE_BOUND('',#5072,.T.); #5485=FACE_BOUND('',#5073,.T.); #5486=FACE_BOUND('',#5074,.T.); #5487=FACE_BOUND('',#5075,.T.); #5488=FACE_BOUND('',#5076,.T.); #5489=FACE_BOUND('',#5077,.T.); #5490=FACE_BOUND('',#5078,.T.); #5491=FACE_BOUND('',#5079,.T.); #5492=FACE_BOUND('',#5080,.T.); #5493=FACE_BOUND('',#5081,.T.); #5494=FACE_BOUND('',#5082,.T.); #5495=FACE_BOUND('',#5083,.T.); #5496=FACE_BOUND('',#5084,.T.); #5497=FACE_BOUND('',#5085,.T.); #5498=FACE_BOUND('',#5086,.T.); #5499=FACE_BOUND('',#5087,.T.); #5500=FACE_BOUND('',#5088,.T.); #5501=FACE_BOUND('',#5089,.T.); #5502=FACE_BOUND('',#5090,.T.); #5503=FACE_BOUND('',#5091,.T.); #5504=FACE_BOUND('',#5092,.T.); #5505=FACE_BOUND('',#5093,.T.); #5506=FACE_BOUND('',#5094,.T.); #5507=FACE_BOUND('',#5095,.T.); #5508=FACE_BOUND('',#5096,.T.); #5509=FACE_BOUND('',#5097,.T.); #5510=FACE_BOUND('',#5098,.T.); #5511=FACE_BOUND('',#5099,.T.); #5512=FACE_BOUND('',#5100,.T.); #5513=FACE_BOUND('',#5101,.T.); #5514=FACE_BOUND('',#5102,.T.); #5515=FACE_BOUND('',#5103,.T.); #5516=FACE_BOUND('',#5104,.T.); #5517=FACE_BOUND('',#5105,.T.); #5518=FACE_BOUND('',#5106,.T.); #5519=FACE_BOUND('',#5107,.T.); #5520=FACE_BOUND('',#5108,.T.); #5521=FACE_BOUND('',#5109,.T.); #5522=FACE_BOUND('',#5110,.T.); #5523=FACE_BOUND('',#5111,.T.); #5524=FACE_BOUND('',#5112,.T.); #5525=FACE_BOUND('',#5113,.T.); #5526=FACE_BOUND('',#5114,.T.); #5527=FACE_BOUND('',#5115,.T.); #5528=FACE_BOUND('',#5116,.T.); #5529=FACE_BOUND('',#5117,.T.); #5530=FACE_BOUND('',#5118,.T.); #5531=FACE_BOUND('',#5119,.T.); #5532=FACE_BOUND('',#5120,.T.); #5533=FACE_BOUND('',#5121,.T.); #5534=FACE_BOUND('',#5122,.T.); #5535=FACE_BOUND('',#5123,.T.); #5536=FACE_BOUND('',#5124,.T.); #5537=FACE_BOUND('',#5125,.T.); #5538=FACE_BOUND('',#5126,.T.); #5539=FACE_BOUND('',#5127,.T.); #5540=FACE_BOUND('',#5128,.T.); #5541=FACE_BOUND('',#5129,.T.); #5542=FACE_BOUND('',#5130,.T.); #5543=FACE_BOUND('',#5131,.T.); #5544=FACE_BOUND('',#5132,.T.); #5545=FACE_BOUND('',#5133,.T.); #5546=FACE_BOUND('',#5134,.T.); #5547=FACE_BOUND('',#5135,.T.); #5548=FACE_BOUND('',#5136,.T.); #5549=FACE_BOUND('',#5137,.T.); #5550=FACE_BOUND('',#5138,.T.); #5551=FACE_BOUND('',#5139,.T.); #5552=FACE_BOUND('',#5140,.T.); #5553=FACE_BOUND('',#5141,.T.); #5554=FACE_BOUND('',#5142,.T.); #5555=FACE_BOUND('',#5143,.T.); #5556=FACE_BOUND('',#5144,.T.); #5557=FACE_BOUND('',#5145,.T.); #5558=FACE_BOUND('',#5146,.T.); #5559=FACE_BOUND('',#5147,.T.); #5560=FACE_BOUND('',#5148,.T.); #5561=FACE_BOUND('',#5149,.T.); #5562=FACE_BOUND('',#5150,.T.); #5563=FACE_BOUND('',#5151,.T.); #5564=FACE_BOUND('',#5152,.T.); #5565=FACE_BOUND('',#5153,.T.); #5566=FACE_BOUND('',#5154,.T.); #5567=FACE_BOUND('',#5155,.T.); #5568=FACE_BOUND('',#5156,.T.); #5569=FACE_BOUND('',#5157,.T.); #5570=FACE_BOUND('',#5158,.T.); #5571=FACE_BOUND('',#5159,.T.); #5572=FACE_BOUND('',#5160,.T.); #5573=FACE_BOUND('',#5161,.T.); #5574=FACE_BOUND('',#5162,.T.); #5575=FACE_BOUND('',#5163,.T.); #5576=FACE_BOUND('',#5164,.T.); #5577=FACE_BOUND('',#5165,.T.); #5578=FACE_BOUND('',#5166,.T.); #5579=FACE_BOUND('',#5167,.T.); #5580=FACE_BOUND('',#5168,.T.); #5581=FACE_BOUND('',#5169,.T.); #5582=FACE_BOUND('',#5170,.T.); #5583=FACE_BOUND('',#5171,.T.); #5584=FACE_BOUND('',#5172,.T.); #5585=FACE_BOUND('',#5173,.T.); #5586=FACE_BOUND('',#5174,.T.); #5587=FACE_BOUND('',#5175,.T.); #5588=FACE_BOUND('',#5176,.T.); #5589=FACE_BOUND('',#5177,.T.); #5590=FACE_BOUND('',#5178,.T.); #5591=FACE_BOUND('',#5179,.T.); #5592=FACE_BOUND('',#5180,.T.); #5593=FACE_BOUND('',#5181,.T.); #5594=FACE_BOUND('',#5182,.T.); #5595=FACE_BOUND('',#5183,.T.); #5596=FACE_BOUND('',#5184,.T.); #5597=FACE_BOUND('',#5185,.T.); #5598=FACE_BOUND('',#5186,.T.); #5599=FACE_BOUND('',#5187,.T.); #5600=FACE_BOUND('',#5188,.T.); #5601=FACE_BOUND('',#5189,.T.); #5602=FACE_BOUND('',#5190,.T.); #5603=FACE_BOUND('',#5191,.T.); #5604=FACE_BOUND('',#5192,.T.); #5605=FACE_BOUND('',#5193,.T.); #5606=FACE_BOUND('',#5194,.T.); #5607=FACE_BOUND('',#5195,.T.); #5608=FACE_BOUND('',#5196,.T.); #5609=FACE_BOUND('',#5197,.T.); #5610=FACE_BOUND('',#5198,.T.); #5611=FACE_BOUND('',#5199,.T.); #5612=FACE_BOUND('',#5200,.T.); #5613=FACE_BOUND('',#5201,.T.); #5614=FACE_BOUND('',#5202,.T.); #5615=FACE_BOUND('',#5203,.T.); #5616=FACE_BOUND('',#5204,.T.); #5617=FACE_BOUND('',#5205,.T.); #5618=FACE_BOUND('',#5206,.T.); #5619=FACE_BOUND('',#5207,.T.); #5620=FACE_BOUND('',#5208,.T.); #5621=FACE_BOUND('',#5209,.T.); #5622=FACE_BOUND('',#5210,.T.); #5623=FACE_BOUND('',#5211,.T.); #5624=FACE_BOUND('',#5212,.T.); #5625=FACE_BOUND('',#5213,.T.); #5626=FACE_BOUND('',#5214,.T.); #5627=FACE_BOUND('',#5215,.T.); #5628=FACE_BOUND('',#5216,.T.); #5629=FACE_BOUND('',#5217,.T.); #5630=FACE_BOUND('',#5218,.T.); #5631=FACE_BOUND('',#5219,.T.); #5632=FACE_BOUND('',#5220,.T.); #5633=FACE_BOUND('',#5221,.T.); #5634=FACE_BOUND('',#5222,.T.); #5635=FACE_BOUND('',#5223,.T.); #5636=FACE_BOUND('',#5224,.T.); #5637=FACE_BOUND('',#5225,.T.); #5638=FACE_BOUND('',#5226,.T.); #5639=FACE_BOUND('',#5227,.T.); #5640=FACE_BOUND('',#5228,.T.); #5641=FACE_BOUND('',#5229,.T.); #5642=FACE_BOUND('',#5230,.T.); #5643=FACE_BOUND('',#5231,.T.); #5644=FACE_BOUND('',#5232,.T.); #5645=FACE_BOUND('',#5233,.T.); #5646=FACE_BOUND('',#5234,.T.); #5647=FACE_BOUND('',#5235,.T.); #5648=FACE_BOUND('',#5236,.T.); #5649=FACE_BOUND('',#5237,.T.); #5650=FACE_BOUND('',#5238,.T.); #5651=FACE_BOUND('',#5239,.T.); #5652=FACE_BOUND('',#5240,.T.); #5653=FACE_BOUND('',#5241,.T.); #5654=FACE_BOUND('',#5242,.T.); #5655=FACE_BOUND('',#5243,.T.); #5656=FACE_BOUND('',#5244,.T.); #5657=FACE_BOUND('',#5245,.T.); #5658=FACE_BOUND('',#5246,.T.); #5659=PLANE('',#6178); #5660=PLANE('',#6197); #5661=PLANE('',#6200); #5662=PLANE('',#6203); #5663=PLANE('',#6206); #5664=PLANE('',#6271); #5665=PLANE('',#6333); #5666=PLANE('',#6356); #5667=PLANE('',#6359); #5668=PLANE('',#6363); #5669=PLANE('',#6372); #5670=PLANE('',#6373); #5671=PLANE('',#6376); #5672=PLANE('',#6383); #5673=PLANE('',#6386); #5674=PLANE('',#6389); #5675=PLANE('',#6396); #5676=PLANE('',#6399); #5677=PLANE('',#6402); #5678=PLANE('',#6409); #5679=PLANE('',#6412); #5680=PLANE('',#6415); #5681=PLANE('',#6422); #5682=PLANE('',#6425); #5683=PLANE('',#6428); #5684=PLANE('',#6435); #5685=PLANE('',#6438); #5686=PLANE('',#6441); #5687=PLANE('',#6448); #5688=PLANE('',#6451); #5689=PLANE('',#6454); #5690=PLANE('',#6461); #5691=PLANE('',#6464); #5692=PLANE('',#6467); #5693=PLANE('',#6474); #5694=PLANE('',#6477); #5695=PLANE('',#6478); #5696=PLANE('',#6485); #5697=PLANE('',#6489); #5698=PLANE('',#6534); #5699=PLANE('',#6535); #5700=PLANE('',#6536); #5701=PLANE('',#6537); #5702=PLANE('',#6538); #5703=PLANE('',#6539); #5704=PLANE('',#6540); #5705=PLANE('',#6541); #5706=PLANE('',#6542); #5707=PLANE('',#6543); #5708=PLANE('',#6544); #5709=PLANE('',#6545); #5710=PLANE('',#6546); #5711=PLANE('',#6547); #5712=PLANE('',#6548); #5713=PLANE('',#6549); #5714=PLANE('',#6552); #5715=PLANE('',#6556); #5716=PLANE('',#6557); #5717=PLANE('',#6558); #5718=PLANE('',#6562); #5719=PLANE('',#6563); #5720=PLANE('',#6566); #5721=PLANE('',#6567); #5722=PLANE('',#6568); #5723=PLANE('',#6575); #5724=PLANE('',#6586); #5725=PLANE('',#6588); #5726=PLANE('',#6592); #5727=PLANE('',#6594); #5728=PLANE('',#6595); #5729=PLANE('',#6597); #5730=PLANE('',#6598); #5731=PLANE('',#6601); #5732=PLANE('',#6602); #5733=PLANE('',#6604); #5734=PLANE('',#6605); #5735=PLANE('',#6606); #5736=PLANE('',#6610); #5737=PLANE('',#6614); #5738=PLANE('',#6623); #5739=PLANE('',#6624); #5740=PLANE('',#6625); #5741=PLANE('',#6626); #5742=PLANE('',#6627); #5743=PLANE('',#6628); #5744=PLANE('',#6629); #5745=PLANE('',#6630); #5746=PLANE('',#6633); #5747=PLANE('',#6636); #5748=PLANE('',#6637); #5749=PLANE('',#6638); #5750=PLANE('',#6639); #5751=PLANE('',#6640); #5752=PLANE('',#6641); #5753=PLANE('',#6642); #5754=PLANE('',#6645); #5755=PLANE('',#6648); #5756=PLANE('',#6651); #5757=PLANE('',#6654); #5758=PLANE('',#6655); #5759=PLANE('',#6656); #5760=PLANE('',#6657); #5761=PLANE('',#6658); #5762=PLANE('',#6659); #5763=PLANE('',#6660); #5764=PLANE('',#6661); #5765=PLANE('',#6662); #5766=PLANE('',#6663); #5767=PLANE('',#6664); #5768=PLANE('',#6665); #5769=PLANE('',#6666); #5770=PLANE('',#6667); #5771=PLANE('',#6672); #5772=PLANE('',#6673); #5773=PLANE('',#6674); #5774=PLANE('',#6675); #5775=PLANE('',#6676); #5776=PLANE('',#6677); #5777=PLANE('',#6678); #5778=PLANE('',#6679); #5779=PLANE('',#6686); #5780=PLANE('',#6687); #5781=PLANE('',#6688); #5782=PLANE('',#6689); #5783=PLANE('',#6690); #5784=PLANE('',#6691); #5785=PLANE('',#6692); #5786=PLANE('',#6693); #5787=PLANE('',#6694); #5788=PLANE('',#6695); #5789=PLANE('',#6696); #5790=PLANE('',#6697); #5791=PLANE('',#6698); #5792=PLANE('',#6701); #5793=PLANE('',#6702); #5794=PLANE('',#6703); #5795=PLANE('',#6704); #5796=PLANE('',#6705); #5797=PLANE('',#6706); #5798=PLANE('',#6709); #5799=PLANE('',#6710); #5800=PLANE('',#6714); #5801=PLANE('',#6720); #5802=PLANE('',#6729); #5803=PLANE('',#6735); #5804=PLANE('',#6739); #5805=PLANE('',#6740); #5806=PLANE('',#6742); #5807=PLANE('',#6746); #5808=PLANE('',#6752); #5809=PLANE('',#6757); #5810=PLANE('',#6763); #5811=PLANE('',#6769); #5812=PLANE('',#6773); #5813=PLANE('',#6774); #5814=PLANE('',#6777); #5815=PLANE('',#6780); #5816=PLANE('',#6783); #5817=PLANE('',#6786); #5818=PLANE('',#6789); #5819=PLANE('',#6792); #5820=PLANE('',#6795); #5821=PLANE('',#6798); #5822=ADVANCED_FACE('',(#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254), #5659,.T.); #5823=ADVANCED_FACE('',(#5255),#5660,.F.); #5824=ADVANCED_FACE('',(#5256),#5661,.F.); #5825=ADVANCED_FACE('',(#5257),#5662,.F.); #5826=ADVANCED_FACE('',(#5258),#5663,.F.); #5827=ADVANCED_FACE('',(#5259),#289,.T.); #5828=ADVANCED_FACE('',(#5260),#290,.T.); #5829=ADVANCED_FACE('',(#5261),#291,.T.); #5830=ADVANCED_FACE('',(#5262),#292,.T.); #5831=ADVANCED_FACE('',(#5263),#293,.T.); #5832=ADVANCED_FACE('',(#5264),#294,.T.); #5833=ADVANCED_FACE('',(#5265),#295,.T.); #5834=ADVANCED_FACE('',(#5266),#296,.T.); #5835=ADVANCED_FACE('',(#5267),#297,.T.); #5836=ADVANCED_FACE('',(#5268),#298,.T.); #5837=ADVANCED_FACE('',(#5269),#40,.T.); #5838=ADVANCED_FACE('',(#5270),#41,.T.); #5839=ADVANCED_FACE('',(#5271),#42,.T.); #5840=ADVANCED_FACE('',(#5272),#43,.T.); #5841=ADVANCED_FACE('',(#5273),#44,.T.); #5842=ADVANCED_FACE('',(#5274),#45,.T.); #5843=ADVANCED_FACE('',(#5275),#46,.T.); #5844=ADVANCED_FACE('',(#5276),#47,.T.); #5845=ADVANCED_FACE('',(#5277),#48,.T.); #5846=ADVANCED_FACE('',(#5278),#49,.T.); #5847=ADVANCED_FACE('',(#5279,#5280),#299,.T.); #5848=ADVANCED_FACE('',(#5281,#5282),#5664,.F.); #5849=ADVANCED_FACE('',(#5283,#5284),#5665,.F.); #5850=ADVANCED_FACE('',(#5285,#5286),#300,.T.); #5851=ADVANCED_FACE('',(#5287,#5288),#50,.T.); #5852=ADVANCED_FACE('',(#5289,#5290),#51,.F.); #5853=ADVANCED_FACE('',(#5291,#5292),#5666,.F.); #5854=ADVANCED_FACE('',(#5293,#5294),#52,.T.); #5855=ADVANCED_FACE('',(#5295,#5296),#5667,.F.); #5856=ADVANCED_FACE('',(#5297),#53,.T.); #5857=ADVANCED_FACE('',(#5298),#5668,.T.); #5858=ADVANCED_FACE('',(#5299),#54,.F.); #5859=ADVANCED_FACE('',(#5300),#55,.T.); #5860=ADVANCED_FACE('',(#5301),#56,.F.); #5861=ADVANCED_FACE('',(#5302),#57,.T.); #5862=ADVANCED_FACE('',(#5303),#5669,.T.); #5863=ADVANCED_FACE('',(#5304),#5670,.T.); #5864=ADVANCED_FACE('',(#5305),#58,.T.); #5865=ADVANCED_FACE('',(#5306),#5671,.T.); #5866=ADVANCED_FACE('',(#5307),#59,.F.); #5867=ADVANCED_FACE('',(#5308),#60,.T.); #5868=ADVANCED_FACE('',(#5309),#61,.F.); #5869=ADVANCED_FACE('',(#5310),#5672,.T.); #5870=ADVANCED_FACE('',(#5311),#62,.T.); #5871=ADVANCED_FACE('',(#5312),#5673,.T.); #5872=ADVANCED_FACE('',(#5313),#63,.T.); #5873=ADVANCED_FACE('',(#5314),#5674,.T.); #5874=ADVANCED_FACE('',(#5315),#64,.F.); #5875=ADVANCED_FACE('',(#5316),#65,.T.); #5876=ADVANCED_FACE('',(#5317),#66,.F.); #5877=ADVANCED_FACE('',(#5318),#5675,.T.); #5878=ADVANCED_FACE('',(#5319),#67,.T.); #5879=ADVANCED_FACE('',(#5320),#5676,.T.); #5880=ADVANCED_FACE('',(#5321),#68,.T.); #5881=ADVANCED_FACE('',(#5322),#5677,.T.); #5882=ADVANCED_FACE('',(#5323),#69,.F.); #5883=ADVANCED_FACE('',(#5324),#70,.T.); #5884=ADVANCED_FACE('',(#5325),#71,.F.); #5885=ADVANCED_FACE('',(#5326),#5678,.T.); #5886=ADVANCED_FACE('',(#5327),#72,.T.); #5887=ADVANCED_FACE('',(#5328),#5679,.T.); #5888=ADVANCED_FACE('',(#5329),#73,.T.); #5889=ADVANCED_FACE('',(#5330),#5680,.T.); #5890=ADVANCED_FACE('',(#5331),#74,.F.); #5891=ADVANCED_FACE('',(#5332),#75,.T.); #5892=ADVANCED_FACE('',(#5333),#76,.F.); #5893=ADVANCED_FACE('',(#5334),#5681,.T.); #5894=ADVANCED_FACE('',(#5335),#77,.T.); #5895=ADVANCED_FACE('',(#5336),#5682,.T.); #5896=ADVANCED_FACE('',(#5337),#78,.T.); #5897=ADVANCED_FACE('',(#5338),#5683,.T.); #5898=ADVANCED_FACE('',(#5339),#79,.F.); #5899=ADVANCED_FACE('',(#5340),#80,.T.); #5900=ADVANCED_FACE('',(#5341),#81,.F.); #5901=ADVANCED_FACE('',(#5342),#5684,.T.); #5902=ADVANCED_FACE('',(#5343),#82,.T.); #5903=ADVANCED_FACE('',(#5344),#5685,.T.); #5904=ADVANCED_FACE('',(#5345),#83,.T.); #5905=ADVANCED_FACE('',(#5346),#5686,.T.); #5906=ADVANCED_FACE('',(#5347),#84,.F.); #5907=ADVANCED_FACE('',(#5348),#85,.T.); #5908=ADVANCED_FACE('',(#5349),#86,.F.); #5909=ADVANCED_FACE('',(#5350),#5687,.T.); #5910=ADVANCED_FACE('',(#5351),#87,.T.); #5911=ADVANCED_FACE('',(#5352),#5688,.T.); #5912=ADVANCED_FACE('',(#5353),#88,.T.); #5913=ADVANCED_FACE('',(#5354),#5689,.T.); #5914=ADVANCED_FACE('',(#5355),#89,.F.); #5915=ADVANCED_FACE('',(#5356),#90,.T.); #5916=ADVANCED_FACE('',(#5357),#91,.F.); #5917=ADVANCED_FACE('',(#5358),#5690,.T.); #5918=ADVANCED_FACE('',(#5359),#92,.T.); #5919=ADVANCED_FACE('',(#5360),#5691,.T.); #5920=ADVANCED_FACE('',(#5361),#93,.T.); #5921=ADVANCED_FACE('',(#5362),#5692,.T.); #5922=ADVANCED_FACE('',(#5363),#94,.F.); #5923=ADVANCED_FACE('',(#5364),#95,.T.); #5924=ADVANCED_FACE('',(#5365),#96,.F.); #5925=ADVANCED_FACE('',(#5366),#5693,.T.); #5926=ADVANCED_FACE('',(#5367),#97,.T.); #5927=ADVANCED_FACE('',(#5368),#5694,.T.); #5928=ADVANCED_FACE('',(#5369),#5695,.T.); #5929=ADVANCED_FACE('',(#5370),#98,.T.); #5930=ADVANCED_FACE('',(#5371),#5696,.T.); #5931=ADVANCED_FACE('',(#5372),#99,.F.); #5932=ADVANCED_FACE('',(#5373),#100,.T.); #5933=ADVANCED_FACE('',(#5374),#101,.F.); #5934=ADVANCED_FACE('',(#5375),#5697,.T.); #5935=ADVANCED_FACE('',(#5376),#102,.T.); #5936=ADVANCED_FACE('',(#5377),#103,.T.); #5937=ADVANCED_FACE('',(#5378),#104,.F.); #5938=ADVANCED_FACE('',(#5379),#105,.F.); #5939=ADVANCED_FACE('',(#5380),#106,.T.); #5940=ADVANCED_FACE('',(#5381),#107,.T.); #5941=ADVANCED_FACE('',(#5382),#108,.F.); #5942=ADVANCED_FACE('',(#5383),#109,.F.); #5943=ADVANCED_FACE('',(#5384),#110,.T.); #5944=ADVANCED_FACE('',(#5385),#111,.T.); #5945=ADVANCED_FACE('',(#5386),#112,.F.); #5946=ADVANCED_FACE('',(#5387),#113,.F.); #5947=ADVANCED_FACE('',(#5388),#114,.T.); #5948=ADVANCED_FACE('',(#5389),#115,.T.); #5949=ADVANCED_FACE('',(#5390),#116,.F.); #5950=ADVANCED_FACE('',(#5391),#117,.F.); #5951=ADVANCED_FACE('',(#5392),#118,.T.); #5952=ADVANCED_FACE('',(#5393),#119,.T.); #5953=ADVANCED_FACE('',(#5394),#120,.F.); #5954=ADVANCED_FACE('',(#5395),#121,.F.); #5955=ADVANCED_FACE('',(#5396),#122,.T.); #5956=ADVANCED_FACE('',(#5397),#123,.T.); #5957=ADVANCED_FACE('',(#5398),#124,.F.); #5958=ADVANCED_FACE('',(#5399),#125,.F.); #5959=ADVANCED_FACE('',(#5400),#126,.T.); #5960=ADVANCED_FACE('',(#5401),#127,.T.); #5961=ADVANCED_FACE('',(#5402),#128,.F.); #5962=ADVANCED_FACE('',(#5403),#129,.F.); #5963=ADVANCED_FACE('',(#5404),#130,.T.); #5964=ADVANCED_FACE('',(#5405),#131,.T.); #5965=ADVANCED_FACE('',(#5406),#132,.F.); #5966=ADVANCED_FACE('',(#5407),#133,.F.); #5967=ADVANCED_FACE('',(#5408),#134,.T.); #5968=ADVANCED_FACE('',(#5409),#135,.T.); #5969=ADVANCED_FACE('',(#5410),#136,.F.); #5970=ADVANCED_FACE('',(#5411),#137,.F.); #5971=ADVANCED_FACE('',(#5412),#138,.T.); #5972=ADVANCED_FACE('',(#5413),#139,.T.); #5973=ADVANCED_FACE('',(#5414),#140,.F.); #5974=ADVANCED_FACE('',(#5415),#141,.F.); #5975=ADVANCED_FACE('',(#5416),#142,.T.); #5976=ADVANCED_FACE('',(#5417,#5418),#143,.F.); #5977=ADVANCED_FACE('',(#5419),#5698,.F.); #5978=ADVANCED_FACE('',(#5420),#5699,.F.); #5979=ADVANCED_FACE('',(#5421),#5700,.F.); #5980=ADVANCED_FACE('',(#5422),#5701,.F.); #5981=ADVANCED_FACE('',(#5423),#5702,.F.); #5982=ADVANCED_FACE('',(#5424),#5703,.F.); #5983=ADVANCED_FACE('',(#5425),#5704,.F.); #5984=ADVANCED_FACE('',(#5426),#5705,.T.); #5985=ADVANCED_FACE('',(#5427),#5706,.T.); #5986=ADVANCED_FACE('',(#5428),#5707,.T.); #5987=ADVANCED_FACE('',(#5429),#5708,.F.); #5988=ADVANCED_FACE('',(#5430,#5431),#5709,.T.); #5989=ADVANCED_FACE('',(#5432),#5710,.T.); #5990=ADVANCED_FACE('',(#5433),#5711,.F.); #5991=ADVANCED_FACE('',(#5434),#5712,.F.); #5992=ADVANCED_FACE('',(#5435),#5713,.F.); #5993=ADVANCED_FACE('',(#5436),#144,.T.); #5994=ADVANCED_FACE('',(#5437),#5714,.T.); #5995=ADVANCED_FACE('',(#5438),#145,.F.); #5996=ADVANCED_FACE('',(#5439),#5715,.T.); #5997=ADVANCED_FACE('',(#5440),#5716,.T.); #5998=ADVANCED_FACE('',(#5441),#5717,.T.); #5999=ADVANCED_FACE('',(#5442),#146,.F.); #6000=ADVANCED_FACE('',(#5443),#5718,.T.); #6001=ADVANCED_FACE('',(#5444),#5719,.T.); #6002=ADVANCED_FACE('',(#5445),#147,.T.); #6003=ADVANCED_FACE('',(#5446,#5447,#5448),#5720,.T.); #6004=ADVANCED_FACE('',(#5449),#5721,.T.); #6005=ADVANCED_FACE('',(#5450),#5722,.T.); #6006=ADVANCED_FACE('',(#5451),#28,.F.); #6007=ADVANCED_FACE('',(#5452),#29,.F.); #6008=ADVANCED_FACE('',(#5453),#30,.F.); #6009=ADVANCED_FACE('',(#5454),#31,.F.); #6010=ADVANCED_FACE('',(#5455),#32,.F.); #6011=ADVANCED_FACE('',(#5456),#33,.F.); #6012=ADVANCED_FACE('',(#5457),#34,.F.); #6013=ADVANCED_FACE('',(#5458),#35,.F.); #6014=ADVANCED_FACE('',(#5459),#36,.F.); #6015=ADVANCED_FACE('',(#5460),#37,.F.); #6016=ADVANCED_FACE('',(#5461),#38,.F.); #6017=ADVANCED_FACE('',(#5462),#39,.F.); #6018=ADVANCED_FACE('',(#5463,#5464),#5723,.F.); #6019=ADVANCED_FACE('',(#5465),#5724,.T.); #6020=ADVANCED_FACE('',(#5466),#148,.T.); #6021=ADVANCED_FACE('',(#5467),#5725,.T.); #6022=ADVANCED_FACE('',(#5468,#5469),#149,.T.); #6023=ADVANCED_FACE('',(#5470),#5726,.T.); #6024=ADVANCED_FACE('',(#5471),#150,.T.); #6025=ADVANCED_FACE('',(#5472),#5727,.T.); #6026=ADVANCED_FACE('',(#5473),#5728,.T.); #6027=ADVANCED_FACE('',(#5474),#151,.T.); #6028=ADVANCED_FACE('',(#5475),#5729,.T.); #6029=ADVANCED_FACE('',(#5476),#5730,.T.); #6030=ADVANCED_FACE('',(#5477),#152,.T.); #6031=ADVANCED_FACE('',(#5478),#5731,.T.); #6032=ADVANCED_FACE('',(#5479),#5732,.T.); #6033=ADVANCED_FACE('',(#5480),#153,.T.); #6034=ADVANCED_FACE('',(#5481),#5733,.T.); #6035=ADVANCED_FACE('',(#5482),#5734,.F.); #6036=ADVANCED_FACE('',(#5483,#5484),#5735,.F.); #6037=ADVANCED_FACE('',(#5485,#5486),#154,.F.); #6038=ADVANCED_FACE('',(#5487,#5488),#5736,.T.); #6039=ADVANCED_FACE('',(#5489,#5490),#155,.T.); #6040=ADVANCED_FACE('',(#5491,#5492,#5493,#5494,#5495,#5496,#5497,#5498, #5499),#5737,.T.); #6041=ADVANCED_FACE('',(#5500),#5738,.F.); #6042=ADVANCED_FACE('',(#5501),#5739,.F.); #6043=ADVANCED_FACE('',(#5502),#5740,.F.); #6044=ADVANCED_FACE('',(#5503),#5741,.F.); #6045=ADVANCED_FACE('',(#5504),#5742,.F.); #6046=ADVANCED_FACE('',(#5505),#5743,.F.); #6047=ADVANCED_FACE('',(#5506),#5744,.F.); #6048=ADVANCED_FACE('',(#5507),#5745,.T.); #6049=ADVANCED_FACE('',(#5508),#156,.T.); #6050=ADVANCED_FACE('',(#5509),#5746,.F.); #6051=ADVANCED_FACE('',(#5510),#157,.F.); #6052=ADVANCED_FACE('',(#5511),#5747,.F.); #6053=ADVANCED_FACE('',(#5512),#5748,.F.); #6054=ADVANCED_FACE('',(#5513),#5749,.F.); #6055=ADVANCED_FACE('',(#5514),#5750,.F.); #6056=ADVANCED_FACE('',(#5515),#5751,.F.); #6057=ADVANCED_FACE('',(#5516),#5752,.F.); #6058=ADVANCED_FACE('',(#5517),#5753,.T.); #6059=ADVANCED_FACE('',(#5518,#5519),#158,.F.); #6060=ADVANCED_FACE('',(#5520),#5754,.F.); #6061=ADVANCED_FACE('',(#5521,#5522),#159,.F.); #6062=ADVANCED_FACE('',(#5523),#5755,.F.); #6063=ADVANCED_FACE('',(#5524,#5525),#160,.F.); #6064=ADVANCED_FACE('',(#5526),#5756,.F.); #6065=ADVANCED_FACE('',(#5527,#5528),#161,.F.); #6066=ADVANCED_FACE('',(#5529),#5757,.F.); #6067=ADVANCED_FACE('',(#5530),#5758,.T.); #6068=ADVANCED_FACE('',(#5531),#5759,.T.); #6069=ADVANCED_FACE('',(#5532),#5760,.T.); #6070=ADVANCED_FACE('',(#5533),#5761,.T.); #6071=ADVANCED_FACE('',(#5534),#5762,.T.); #6072=ADVANCED_FACE('',(#5535),#5763,.T.); #6073=ADVANCED_FACE('',(#5536),#5764,.T.); #6074=ADVANCED_FACE('',(#5537),#5765,.F.); #6075=ADVANCED_FACE('',(#5538),#5766,.F.); #6076=ADVANCED_FACE('',(#5539),#5767,.F.); #6077=ADVANCED_FACE('',(#5540),#5768,.T.); #6078=ADVANCED_FACE('',(#5541,#5542),#5769,.F.); #6079=ADVANCED_FACE('',(#5543),#5770,.T.); #6080=ADVANCED_FACE('',(#5544),#162,.T.); #6081=ADVANCED_FACE('',(#5545),#163,.T.); #6082=ADVANCED_FACE('',(#5546),#5771,.T.); #6083=ADVANCED_FACE('',(#5547),#5772,.T.); #6084=ADVANCED_FACE('',(#5548),#5773,.F.); #6085=ADVANCED_FACE('',(#5549),#5774,.F.); #6086=ADVANCED_FACE('',(#5550),#5775,.F.); #6087=ADVANCED_FACE('',(#5551),#5776,.F.); #6088=ADVANCED_FACE('',(#5552),#5777,.F.); #6089=ADVANCED_FACE('',(#5553),#5778,.F.); #6090=ADVANCED_FACE('',(#5554),#164,.F.); #6091=ADVANCED_FACE('',(#5555),#165,.F.); #6092=ADVANCED_FACE('',(#5556),#5779,.T.); #6093=ADVANCED_FACE('',(#5557,#5558,#5559),#5780,.F.); #6094=ADVANCED_FACE('',(#5560),#5781,.T.); #6095=ADVANCED_FACE('',(#5561),#5782,.T.); #6096=ADVANCED_FACE('',(#5562),#5783,.T.); #6097=ADVANCED_FACE('',(#5563),#5784,.T.); #6098=ADVANCED_FACE('',(#5564),#5785,.T.); #6099=ADVANCED_FACE('',(#5565),#5786,.T.); #6100=ADVANCED_FACE('',(#5566),#5787,.T.); #6101=ADVANCED_FACE('',(#5567),#5788,.T.); #6102=ADVANCED_FACE('',(#5568),#5789,.T.); #6103=ADVANCED_FACE('',(#5569),#5790,.F.); #6104=ADVANCED_FACE('',(#5570),#5791,.T.); #6105=ADVANCED_FACE('',(#5571),#166,.T.); #6106=ADVANCED_FACE('',(#5572),#5792,.T.); #6107=ADVANCED_FACE('',(#5573),#5793,.T.); #6108=ADVANCED_FACE('',(#5574),#5794,.T.); #6109=ADVANCED_FACE('',(#5575),#5795,.T.); #6110=ADVANCED_FACE('',(#5576),#5796,.T.); #6111=ADVANCED_FACE('',(#5577),#5797,.T.); #6112=ADVANCED_FACE('',(#5578),#167,.F.); #6113=ADVANCED_FACE('',(#5579),#5798,.F.); #6114=ADVANCED_FACE('',(#5580),#5799,.T.); #6115=ADVANCED_FACE('',(#5581,#5582),#168,.F.); #6116=ADVANCED_FACE('',(#5583,#5584),#5800,.T.); #6117=ADVANCED_FACE('',(#5585,#5586),#169,.F.); #6118=ADVANCED_FACE('',(#5587,#5588),#20,.T.); #6119=ADVANCED_FACE('',(#5589,#5590),#5801,.T.); #6120=ADVANCED_FACE('',(#5591,#5592),#21,.F.); #6121=ADVANCED_FACE('',(#5593,#5594),#170,.F.); #6122=ADVANCED_FACE('',(#5595,#5596),#171,.F.); #6123=ADVANCED_FACE('',(#5597,#5598),#22,.F.); #6124=ADVANCED_FACE('',(#5599,#5600),#5802,.T.); #6125=ADVANCED_FACE('',(#5601,#5602),#23,.T.); #6126=ADVANCED_FACE('',(#5603,#5604),#172,.F.); #6127=ADVANCED_FACE('',(#5605,#5606),#5803,.T.); #6128=ADVANCED_FACE('',(#5607,#5608),#173,.F.); #6129=ADVANCED_FACE('',(#5609),#5804,.T.); #6130=ADVANCED_FACE('',(#5610),#5805,.T.); #6131=ADVANCED_FACE('',(#5611),#5806,.T.); #6132=ADVANCED_FACE('',(#5612,#5613),#174,.F.); #6133=ADVANCED_FACE('',(#5614,#5615),#5807,.T.); #6134=ADVANCED_FACE('',(#5616,#5617),#175,.F.); #6135=ADVANCED_FACE('',(#5618,#5619),#24,.T.); #6136=ADVANCED_FACE('',(#5620,#5621),#5808,.T.); #6137=ADVANCED_FACE('',(#5622,#5623),#25,.F.); #6138=ADVANCED_FACE('',(#5624,#5625),#176,.F.); #6139=ADVANCED_FACE('',(#5626),#5809,.T.); #6140=ADVANCED_FACE('',(#5627,#5628),#177,.F.); #6141=ADVANCED_FACE('',(#5629,#5630),#26,.F.); #6142=ADVANCED_FACE('',(#5631,#5632),#5810,.T.); #6143=ADVANCED_FACE('',(#5633,#5634),#27,.T.); #6144=ADVANCED_FACE('',(#5635,#5636),#178,.F.); #6145=ADVANCED_FACE('',(#5637,#5638),#5811,.T.); #6146=ADVANCED_FACE('',(#5639,#5640),#179,.F.); #6147=ADVANCED_FACE('',(#5641),#5812,.T.); #6148=ADVANCED_FACE('',(#5642),#5813,.F.); #6149=ADVANCED_FACE('',(#5643),#180,.T.); #6150=ADVANCED_FACE('',(#5644),#5814,.F.); #6151=ADVANCED_FACE('',(#5645),#181,.T.); #6152=ADVANCED_FACE('',(#5646),#5815,.F.); #6153=ADVANCED_FACE('',(#5647),#182,.F.); #6154=ADVANCED_FACE('',(#5648),#5816,.F.); #6155=ADVANCED_FACE('',(#5649),#183,.F.); #6156=ADVANCED_FACE('',(#5650),#5817,.F.); #6157=ADVANCED_FACE('',(#5651),#184,.T.); #6158=ADVANCED_FACE('',(#5652),#5818,.F.); #6159=ADVANCED_FACE('',(#5653),#185,.T.); #6160=ADVANCED_FACE('',(#5654),#5819,.F.); #6161=ADVANCED_FACE('',(#5655),#186,.F.); #6162=ADVANCED_FACE('',(#5656),#5820,.F.); #6163=ADVANCED_FACE('',(#5657),#187,.F.); #6164=ADVANCED_FACE('',(#5658),#5821,.T.); #6165=CLOSED_SHELL('',(#5822,#5823,#5824,#5825,#5826,#5827,#5828,#5829, #5830,#5831,#5832,#5833,#5834,#5835,#5836,#5837,#5838,#5839,#5840,#5841, #5842,#5843,#5844,#5845,#5846,#5847,#5848,#5849,#5850,#5851,#5852,#5853, #5854,#5855,#5856,#5857,#5858,#5859,#5860,#5861,#5862,#5863,#5864,#5865, #5866,#5867,#5868,#5869,#5870,#5871,#5872,#5873,#5874,#5875,#5876,#5877, #5878,#5879,#5880,#5881,#5882,#5883,#5884,#5885,#5886,#5887,#5888,#5889, #5890,#5891,#5892,#5893,#5894,#5895,#5896,#5897,#5898,#5899,#5900,#5901, #5902,#5903,#5904,#5905,#5906,#5907,#5908,#5909,#5910,#5911,#5912,#5913, #5914,#5915,#5916,#5917,#5918,#5919,#5920,#5921,#5922,#5923,#5924,#5925, #5926,#5927,#5928,#5929,#5930,#5931,#5932,#5933,#5934,#5935,#5936,#5937, #5938,#5939,#5940,#5941,#5942,#5943,#5944,#5945,#5946,#5947,#5948,#5949, #5950,#5951,#5952,#5953,#5954,#5955,#5956,#5957,#5958,#5959,#5960,#5961, #5962,#5963,#5964,#5965,#5966,#5967,#5968,#5969,#5970,#5971,#5972,#5973, #5974,#5975,#5976,#5977,#5978,#5979,#5980,#5981,#5982,#5983,#5984,#5985, #5986,#5987,#5988,#5989,#5990,#5991,#5992,#5993,#5994,#5995,#5996,#5997, #5998,#5999,#6000,#6001,#6002,#6003,#6004,#6005,#6006,#6007,#6008,#6009, #6010,#6011,#6012,#6013,#6014,#6015,#6016,#6017,#6018,#6019,#6020,#6021, #6022,#6023,#6024,#6025,#6026,#6027,#6028,#6029,#6030,#6031,#6032,#6033, #6034,#6035,#6036,#6037,#6038,#6039,#6040,#6041,#6042,#6043,#6044,#6045, #6046,#6047,#6048,#6049,#6050,#6051,#6052,#6053,#6054,#6055,#6056,#6057, #6058,#6059,#6060,#6061,#6062,#6063,#6064,#6065,#6066,#6067,#6068,#6069, #6070,#6071,#6072,#6073,#6074,#6075,#6076,#6077,#6078,#6079,#6080,#6081, #6082,#6083,#6084,#6085,#6086,#6087,#6088,#6089,#6090,#6091,#6092,#6093, #6094,#6095,#6096,#6097,#6098,#6099,#6100,#6101,#6102,#6103,#6104,#6105, #6106,#6107,#6108,#6109,#6110,#6111,#6112,#6113,#6114,#6115,#6116,#6117, #6118,#6119,#6120,#6121,#6122,#6123,#6124,#6125,#6126,#6127,#6128,#6129, #6130,#6131,#6132,#6133,#6134,#6135,#6136,#6137,#6138,#6139,#6140,#6141, #6142,#6143,#6144,#6145,#6146,#6147,#6148,#6149,#6150,#6151,#6152,#6153, #6154,#6155,#6156,#6157,#6158,#6159,#6160,#6161,#6162,#6163,#6164)); #6166=STYLED_ITEM('',(#6167),#6174); #6167=PRESENTATION_STYLE_ASSIGNMENT((#6168)); #6168=SURFACE_STYLE_USAGE(.BOTH.,#6169); #6169=SURFACE_SIDE_STYLE('',(#6170)); #6170=SURFACE_STYLE_FILL_AREA(#6171); #6171=FILL_AREA_STYLE('',(#6172)); #6172=FILL_AREA_STYLE_COLOUR('',#6173); #6173=COLOUR_RGB('',0.689999997615814,0.689999997615814,0.689999997615814); #6174=MANIFOLD_SOLID_BREP('RTS6BS10N2SHEC03',#6165); #6175=SHAPE_DEFINITION_REPRESENTATION(#10798,#6176); #6176=SHAPE_REPRESENTATION('RTS6BS10N2SHEC03',(#6177),#10793); #6177=AXIS2_PLACEMENT_3D('',#8535,#6799,#6800); #6178=AXIS2_PLACEMENT_3D('',#8536,#6801,#6802); #6179=AXIS2_PLACEMENT_3D('',#8537,#6803,#6804); #6180=AXIS2_PLACEMENT_3D('',#8542,#6806,#6807); #6181=AXIS2_PLACEMENT_3D('',#8546,#6809,#6810); #6182=AXIS2_PLACEMENT_3D('',#8550,#6812,#6813); #6183=AXIS2_PLACEMENT_3D('',#8554,#6815,#6816); #6184=AXIS2_PLACEMENT_3D('',#8558,#6818,#6819); #6185=AXIS2_PLACEMENT_3D('',#8562,#6821,#6822); #6186=AXIS2_PLACEMENT_3D('',#8566,#6824,#6825); #6187=AXIS2_PLACEMENT_3D('',#8569,#6827,#6828); #6188=AXIS2_PLACEMENT_3D('',#8571,#6829,#6830); #6189=AXIS2_PLACEMENT_3D('',#8578,#6833,#6834); #6190=AXIS2_PLACEMENT_3D('',#8580,#6835,#6836); #6191=AXIS2_PLACEMENT_3D('',#8583,#6838,#6839); #6192=AXIS2_PLACEMENT_3D('',#8586,#6840,#6841); #6193=AXIS2_PLACEMENT_3D('',#8588,#6842,#6843); #6194=AXIS2_PLACEMENT_3D('',#8590,#6844,#6845); #6195=AXIS2_PLACEMENT_3D('',#8624,#6862,#6863); #6196=AXIS2_PLACEMENT_3D('',#8638,#6870,#6871); #6197=AXIS2_PLACEMENT_3D('',#8639,#6872,#6873); #6198=AXIS2_PLACEMENT_3D('',#8643,#6875,#6876); #6199=AXIS2_PLACEMENT_3D('',#8647,#6878,#6879); #6200=AXIS2_PLACEMENT_3D('',#8648,#6880,#6881); #6201=AXIS2_PLACEMENT_3D('',#8652,#6883,#6884); #6202=AXIS2_PLACEMENT_3D('',#8656,#6886,#6887); #6203=AXIS2_PLACEMENT_3D('',#8657,#6888,#6889); #6204=AXIS2_PLACEMENT_3D('',#8661,#6891,#6892); #6205=AXIS2_PLACEMENT_3D('',#8665,#6894,#6895); #6206=AXIS2_PLACEMENT_3D('',#8666,#6896,#6897); #6207=AXIS2_PLACEMENT_3D('',#8670,#6899,#6900); #6208=AXIS2_PLACEMENT_3D('',#8674,#6902,#6903); #6209=AXIS2_PLACEMENT_3D('',#8675,#6904,#6905); #6210=AXIS2_PLACEMENT_3D('',#8687,#6906,#6907); #6211=AXIS2_PLACEMENT_3D('',#8699,#6908,#6909); #6212=AXIS2_PLACEMENT_3D('',#8700,#6910,#6911); #6213=AXIS2_PLACEMENT_3D('',#8701,#6912,#6913); #6214=AXIS2_PLACEMENT_3D('',#8714,#6914,#6915); #6215=AXIS2_PLACEMENT_3D('',#8725,#6916,#6917); #6216=AXIS2_PLACEMENT_3D('',#8726,#6918,#6919); #6217=AXIS2_PLACEMENT_3D('',#8739,#6920,#6921); #6218=AXIS2_PLACEMENT_3D('',#8750,#6922,#6923); #6219=AXIS2_PLACEMENT_3D('',#8751,#6924,#6925); #6220=AXIS2_PLACEMENT_3D('',#8764,#6926,#6927); #6221=AXIS2_PLACEMENT_3D('',#8775,#6928,#6929); #6222=AXIS2_PLACEMENT_3D('',#8776,#6930,#6931); #6223=AXIS2_PLACEMENT_3D('',#8789,#6932,#6933); #6224=AXIS2_PLACEMENT_3D('',#8800,#6934,#6935); #6225=AXIS2_PLACEMENT_3D('',#8801,#6936,#6937); #6226=AXIS2_PLACEMENT_3D('',#8814,#6938,#6939); #6227=AXIS2_PLACEMENT_3D('',#8825,#6940,#6941); #6228=AXIS2_PLACEMENT_3D('',#8826,#6942,#6943); #6229=AXIS2_PLACEMENT_3D('',#8839,#6944,#6945); #6230=AXIS2_PLACEMENT_3D('',#8850,#6946,#6947); #6231=AXIS2_PLACEMENT_3D('',#8851,#6948,#6949); #6232=AXIS2_PLACEMENT_3D('',#8864,#6950,#6951); #6233=AXIS2_PLACEMENT_3D('',#8875,#6952,#6953); #6234=AXIS2_PLACEMENT_3D('',#8876,#6954,#6955); #6235=AXIS2_PLACEMENT_3D('',#8889,#6956,#6957); #6236=AXIS2_PLACEMENT_3D('',#8900,#6958,#6959); #6237=AXIS2_PLACEMENT_3D('',#8901,#6960,#6961); #6238=AXIS2_PLACEMENT_3D('',#8914,#6962,#6963); #6239=AXIS2_PLACEMENT_3D('',#8925,#6964,#6965); #6240=AXIS2_PLACEMENT_3D('',#8930,#6968,#6969); #6241=AXIS2_PLACEMENT_3D('',#8931,#6970,#6971); #6242=AXIS2_PLACEMENT_3D('',#8934,#6973,#6974); #6243=AXIS2_PLACEMENT_3D('',#8937,#6976,#6977); #6244=AXIS2_PLACEMENT_3D('',#8940,#6979,#6980); #6245=AXIS2_PLACEMENT_3D('',#8943,#6982,#6983); #6246=AXIS2_PLACEMENT_3D('',#8946,#6985,#6986); #6247=AXIS2_PLACEMENT_3D('',#8949,#6988,#6989); #6248=AXIS2_PLACEMENT_3D('',#8952,#6991,#6992); #6249=AXIS2_PLACEMENT_3D('',#8955,#6994,#6995); #6250=AXIS2_PLACEMENT_3D('',#8958,#6997,#6998); #6251=AXIS2_PLACEMENT_3D('',#8961,#7000,#7001); #6252=AXIS2_PLACEMENT_3D('',#8964,#7003,#7004); #6253=AXIS2_PLACEMENT_3D('',#8967,#7006,#7007); #6254=AXIS2_PLACEMENT_3D('',#8970,#7009,#7010); #6255=AXIS2_PLACEMENT_3D('',#8973,#7012,#7013); #6256=AXIS2_PLACEMENT_3D('',#8976,#7015,#7016); #6257=AXIS2_PLACEMENT_3D('',#8979,#7018,#7019); #6258=AXIS2_PLACEMENT_3D('',#8982,#7021,#7022); #6259=AXIS2_PLACEMENT_3D('',#8985,#7024,#7025); #6260=AXIS2_PLACEMENT_3D('',#8986,#7026,#7027); #6261=AXIS2_PLACEMENT_3D('',#9004,#7028,#7029); #6262=AXIS2_PLACEMENT_3D('',#9027,#7030,#7031); #6263=AXIS2_PLACEMENT_3D('',#9050,#7032,#7033); #6264=AXIS2_PLACEMENT_3D('',#9073,#7034,#7035); #6265=AXIS2_PLACEMENT_3D('',#9096,#7036,#7037); #6266=AXIS2_PLACEMENT_3D('',#9119,#7038,#7039); #6267=AXIS2_PLACEMENT_3D('',#9142,#7040,#7041); #6268=AXIS2_PLACEMENT_3D('',#9165,#7042,#7043); #6269=AXIS2_PLACEMENT_3D('',#9188,#7044,#7045); #6270=AXIS2_PLACEMENT_3D('',#9211,#7046,#7047); #6271=AXIS2_PLACEMENT_3D('',#9218,#7048,#7049); #6272=AXIS2_PLACEMENT_3D('',#9219,#7050,#7051); #6273=AXIS2_PLACEMENT_3D('',#9221,#7052,#7053); #6274=AXIS2_PLACEMENT_3D('',#9224,#7054,#7055); #6275=AXIS2_PLACEMENT_3D('',#9226,#7056,#7057); #6276=AXIS2_PLACEMENT_3D('',#9230,#7059,#7060); #6277=AXIS2_PLACEMENT_3D('',#9232,#7061,#7062); #6278=AXIS2_PLACEMENT_3D('',#9234,#7063,#7064); #6279=AXIS2_PLACEMENT_3D('',#9238,#7066,#7067); #6280=AXIS2_PLACEMENT_3D('',#9240,#7068,#7069); #6281=AXIS2_PLACEMENT_3D('',#9242,#7070,#7071); #6282=AXIS2_PLACEMENT_3D('',#9246,#7073,#7074); #6283=AXIS2_PLACEMENT_3D('',#9248,#7075,#7076); #6284=AXIS2_PLACEMENT_3D('',#9250,#7077,#7078); #6285=AXIS2_PLACEMENT_3D('',#9254,#7080,#7081); #6286=AXIS2_PLACEMENT_3D('',#9256,#7082,#7083); #6287=AXIS2_PLACEMENT_3D('',#9258,#7084,#7085); #6288=AXIS2_PLACEMENT_3D('',#9262,#7087,#7088); #6289=AXIS2_PLACEMENT_3D('',#9264,#7089,#7090); #6290=AXIS2_PLACEMENT_3D('',#9266,#7091,#7092); #6291=AXIS2_PLACEMENT_3D('',#9270,#7094,#7095); #6292=AXIS2_PLACEMENT_3D('',#9272,#7096,#7097); #6293=AXIS2_PLACEMENT_3D('',#9274,#7098,#7099); #6294=AXIS2_PLACEMENT_3D('',#9278,#7101,#7102); #6295=AXIS2_PLACEMENT_3D('',#9280,#7103,#7104); #6296=AXIS2_PLACEMENT_3D('',#9282,#7105,#7106); #6297=AXIS2_PLACEMENT_3D('',#9286,#7108,#7109); #6298=AXIS2_PLACEMENT_3D('',#9288,#7110,#7111); #6299=AXIS2_PLACEMENT_3D('',#9290,#7112,#7113); #6300=AXIS2_PLACEMENT_3D('',#9294,#7115,#7116); #6301=AXIS2_PLACEMENT_3D('',#9296,#7117,#7118); #6302=AXIS2_PLACEMENT_3D('',#9298,#7119,#7120); #6303=AXIS2_PLACEMENT_3D('',#9302,#7122,#7123); #6304=AXIS2_PLACEMENT_3D('',#9304,#7124,#7125); #6305=AXIS2_PLACEMENT_3D('',#9306,#7126,#7127); #6306=AXIS2_PLACEMENT_3D('',#9310,#7129,#7130); #6307=AXIS2_PLACEMENT_3D('',#9312,#7131,#7132); #6308=AXIS2_PLACEMENT_3D('',#9314,#7133,#7134); #6309=AXIS2_PLACEMENT_3D('',#9318,#7136,#7137); #6310=AXIS2_PLACEMENT_3D('',#9320,#7138,#7139); #6311=AXIS2_PLACEMENT_3D('',#9322,#7140,#7141); #6312=AXIS2_PLACEMENT_3D('',#9326,#7143,#7144); #6313=AXIS2_PLACEMENT_3D('',#9328,#7145,#7146); #6314=AXIS2_PLACEMENT_3D('',#9330,#7147,#7148); #6315=AXIS2_PLACEMENT_3D('',#9334,#7150,#7151); #6316=AXIS2_PLACEMENT_3D('',#9336,#7152,#7153); #6317=AXIS2_PLACEMENT_3D('',#9338,#7154,#7155); #6318=AXIS2_PLACEMENT_3D('',#9342,#7157,#7158); #6319=AXIS2_PLACEMENT_3D('',#9344,#7159,#7160); #6320=AXIS2_PLACEMENT_3D('',#9346,#7161,#7162); #6321=AXIS2_PLACEMENT_3D('',#9350,#7164,#7165); #6322=AXIS2_PLACEMENT_3D('',#9352,#7166,#7167); #6323=AXIS2_PLACEMENT_3D('',#9354,#7168,#7169); #6324=AXIS2_PLACEMENT_3D('',#9358,#7171,#7172); #6325=AXIS2_PLACEMENT_3D('',#9360,#7173,#7174); #6326=AXIS2_PLACEMENT_3D('',#9362,#7175,#7176); #6327=AXIS2_PLACEMENT_3D('',#9366,#7178,#7179); #6328=AXIS2_PLACEMENT_3D('',#9368,#7180,#7181); #6329=AXIS2_PLACEMENT_3D('',#9370,#7182,#7183); #6330=AXIS2_PLACEMENT_3D('',#9374,#7185,#7186); #6331=AXIS2_PLACEMENT_3D('',#9376,#7187,#7188); #6332=AXIS2_PLACEMENT_3D('',#9378,#7189,#7190); #6333=AXIS2_PLACEMENT_3D('',#9381,#7192,#7193); #6334=AXIS2_PLACEMENT_3D('',#9382,#7194,#7195); #6335=AXIS2_PLACEMENT_3D('',#9387,#7197,#7198); #6336=AXIS2_PLACEMENT_3D('',#9391,#7200,#7201); #6337=AXIS2_PLACEMENT_3D('',#9395,#7203,#7204); #6338=AXIS2_PLACEMENT_3D('',#9399,#7206,#7207); #6339=AXIS2_PLACEMENT_3D('',#9403,#7209,#7210); #6340=AXIS2_PLACEMENT_3D('',#9407,#7212,#7213); #6341=AXIS2_PLACEMENT_3D('',#9413,#7214,#7215); #6342=AXIS2_PLACEMENT_3D('',#9414,#7216,#7217); #6343=AXIS2_PLACEMENT_3D('',#9416,#7218,#7219); #6344=AXIS2_PLACEMENT_3D('',#9419,#7221,#7222); #6345=AXIS2_PLACEMENT_3D('',#9424,#7225,#7226); #6346=AXIS2_PLACEMENT_3D('',#9429,#7229,#7230); #6347=AXIS2_PLACEMENT_3D('',#9434,#7233,#7234); #6348=AXIS2_PLACEMENT_3D('',#9439,#7237,#7238); #6349=AXIS2_PLACEMENT_3D('',#9444,#7241,#7242); #6350=AXIS2_PLACEMENT_3D('',#9449,#7245,#7246); #6351=AXIS2_PLACEMENT_3D('',#9454,#7249,#7250); #6352=AXIS2_PLACEMENT_3D('',#9459,#7253,#7254); #6353=AXIS2_PLACEMENT_3D('',#9464,#7257,#7258); #6354=AXIS2_PLACEMENT_3D('',#9467,#7260,#7261); #6355=AXIS2_PLACEMENT_3D('',#9468,#7262,#7263); #6356=AXIS2_PLACEMENT_3D('',#9470,#7264,#7265); #6357=AXIS2_PLACEMENT_3D('',#9471,#7266,#7267); #6358=AXIS2_PLACEMENT_3D('',#9473,#7268,#7269); #6359=AXIS2_PLACEMENT_3D('',#9594,#7290,#7291); #6360=AXIS2_PLACEMENT_3D('',#9595,#7292,#7293); #6361=AXIS2_PLACEMENT_3D('',#9597,#7294,#7295); #6362=AXIS2_PLACEMENT_3D('',#9598,#7296,#7297); #6363=AXIS2_PLACEMENT_3D('',#9601,#7299,#7300); #6364=AXIS2_PLACEMENT_3D('',#9605,#7303,#7304); #6365=AXIS2_PLACEMENT_3D('',#9606,#7305,#7306); #6366=AXIS2_PLACEMENT_3D('',#9609,#7308,#7309); #6367=AXIS2_PLACEMENT_3D('',#9610,#7310,#7311); #6368=AXIS2_PLACEMENT_3D('',#9613,#7313,#7314); #6369=AXIS2_PLACEMENT_3D('',#9614,#7315,#7316); #6370=AXIS2_PLACEMENT_3D('',#9617,#7318,#7319); #6371=AXIS2_PLACEMENT_3D('',#9620,#7321,#7322); #6372=AXIS2_PLACEMENT_3D('',#9621,#7323,#7324); #6373=AXIS2_PLACEMENT_3D('',#9623,#7326,#7327); #6374=AXIS2_PLACEMENT_3D('',#9624,#7328,#7329); #6375=AXIS2_PLACEMENT_3D('',#9625,#7330,#7331); #6376=AXIS2_PLACEMENT_3D('',#9628,#7333,#7334); #6377=AXIS2_PLACEMENT_3D('',#9632,#7337,#7338); #6378=AXIS2_PLACEMENT_3D('',#9633,#7339,#7340); #6379=AXIS2_PLACEMENT_3D('',#9636,#7342,#7343); #6380=AXIS2_PLACEMENT_3D('',#9637,#7344,#7345); #6381=AXIS2_PLACEMENT_3D('',#9640,#7347,#7348); #6382=AXIS2_PLACEMENT_3D('',#9641,#7349,#7350); #6383=AXIS2_PLACEMENT_3D('',#9644,#7352,#7353); #6384=AXIS2_PLACEMENT_3D('',#9648,#7356,#7357); #6385=AXIS2_PLACEMENT_3D('',#9649,#7358,#7359); #6386=AXIS2_PLACEMENT_3D('',#9650,#7360,#7361); #6387=AXIS2_PLACEMENT_3D('',#9651,#7362,#7363); #6388=AXIS2_PLACEMENT_3D('',#9652,#7364,#7365); #6389=AXIS2_PLACEMENT_3D('',#9655,#7367,#7368); #6390=AXIS2_PLACEMENT_3D('',#9659,#7371,#7372); #6391=AXIS2_PLACEMENT_3D('',#9660,#7373,#7374); #6392=AXIS2_PLACEMENT_3D('',#9663,#7376,#7377); #6393=AXIS2_PLACEMENT_3D('',#9664,#7378,#7379); #6394=AXIS2_PLACEMENT_3D('',#9667,#7381,#7382); #6395=AXIS2_PLACEMENT_3D('',#9668,#7383,#7384); #6396=AXIS2_PLACEMENT_3D('',#9671,#7386,#7387); #6397=AXIS2_PLACEMENT_3D('',#9675,#7390,#7391); #6398=AXIS2_PLACEMENT_3D('',#9676,#7392,#7393); #6399=AXIS2_PLACEMENT_3D('',#9677,#7394,#7395); #6400=AXIS2_PLACEMENT_3D('',#9678,#7396,#7397); #6401=AXIS2_PLACEMENT_3D('',#9679,#7398,#7399); #6402=AXIS2_PLACEMENT_3D('',#9682,#7401,#7402); #6403=AXIS2_PLACEMENT_3D('',#9686,#7405,#7406); #6404=AXIS2_PLACEMENT_3D('',#9687,#7407,#7408); #6405=AXIS2_PLACEMENT_3D('',#9690,#7410,#7411); #6406=AXIS2_PLACEMENT_3D('',#9691,#7412,#7413); #6407=AXIS2_PLACEMENT_3D('',#9694,#7415,#7416); #6408=AXIS2_PLACEMENT_3D('',#9695,#7417,#7418); #6409=AXIS2_PLACEMENT_3D('',#9698,#7420,#7421); #6410=AXIS2_PLACEMENT_3D('',#9702,#7424,#7425); #6411=AXIS2_PLACEMENT_3D('',#9703,#7426,#7427); #6412=AXIS2_PLACEMENT_3D('',#9704,#7428,#7429); #6413=AXIS2_PLACEMENT_3D('',#9705,#7430,#7431); #6414=AXIS2_PLACEMENT_3D('',#9706,#7432,#7433); #6415=AXIS2_PLACEMENT_3D('',#9709,#7435,#7436); #6416=AXIS2_PLACEMENT_3D('',#9713,#7439,#7440); #6417=AXIS2_PLACEMENT_3D('',#9714,#7441,#7442); #6418=AXIS2_PLACEMENT_3D('',#9717,#7444,#7445); #6419=AXIS2_PLACEMENT_3D('',#9718,#7446,#7447); #6420=AXIS2_PLACEMENT_3D('',#9721,#7449,#7450); #6421=AXIS2_PLACEMENT_3D('',#9722,#7451,#7452); #6422=AXIS2_PLACEMENT_3D('',#9725,#7454,#7455); #6423=AXIS2_PLACEMENT_3D('',#9729,#7458,#7459); #6424=AXIS2_PLACEMENT_3D('',#9730,#7460,#7461); #6425=AXIS2_PLACEMENT_3D('',#9731,#7462,#7463); #6426=AXIS2_PLACEMENT_3D('',#9732,#7464,#7465); #6427=AXIS2_PLACEMENT_3D('',#9733,#7466,#7467); #6428=AXIS2_PLACEMENT_3D('',#9736,#7469,#7470); #6429=AXIS2_PLACEMENT_3D('',#9740,#7473,#7474); #6430=AXIS2_PLACEMENT_3D('',#9741,#7475,#7476); #6431=AXIS2_PLACEMENT_3D('',#9744,#7478,#7479); #6432=AXIS2_PLACEMENT_3D('',#9745,#7480,#7481); #6433=AXIS2_PLACEMENT_3D('',#9748,#7483,#7484); #6434=AXIS2_PLACEMENT_3D('',#9749,#7485,#7486); #6435=AXIS2_PLACEMENT_3D('',#9752,#7488,#7489); #6436=AXIS2_PLACEMENT_3D('',#9756,#7492,#7493); #6437=AXIS2_PLACEMENT_3D('',#9757,#7494,#7495); #6438=AXIS2_PLACEMENT_3D('',#9758,#7496,#7497); #6439=AXIS2_PLACEMENT_3D('',#9759,#7498,#7499); #6440=AXIS2_PLACEMENT_3D('',#9760,#7500,#7501); #6441=AXIS2_PLACEMENT_3D('',#9763,#7503,#7504); #6442=AXIS2_PLACEMENT_3D('',#9767,#7507,#7508); #6443=AXIS2_PLACEMENT_3D('',#9768,#7509,#7510); #6444=AXIS2_PLACEMENT_3D('',#9771,#7512,#7513); #6445=AXIS2_PLACEMENT_3D('',#9772,#7514,#7515); #6446=AXIS2_PLACEMENT_3D('',#9775,#7517,#7518); #6447=AXIS2_PLACEMENT_3D('',#9776,#7519,#7520); #6448=AXIS2_PLACEMENT_3D('',#9779,#7522,#7523); #6449=AXIS2_PLACEMENT_3D('',#9783,#7526,#7527); #6450=AXIS2_PLACEMENT_3D('',#9784,#7528,#7529); #6451=AXIS2_PLACEMENT_3D('',#9785,#7530,#7531); #6452=AXIS2_PLACEMENT_3D('',#9786,#7532,#7533); #6453=AXIS2_PLACEMENT_3D('',#9787,#7534,#7535); #6454=AXIS2_PLACEMENT_3D('',#9790,#7537,#7538); #6455=AXIS2_PLACEMENT_3D('',#9794,#7541,#7542); #6456=AXIS2_PLACEMENT_3D('',#9795,#7543,#7544); #6457=AXIS2_PLACEMENT_3D('',#9798,#7546,#7547); #6458=AXIS2_PLACEMENT_3D('',#9799,#7548,#7549); #6459=AXIS2_PLACEMENT_3D('',#9802,#7551,#7552); #6460=AXIS2_PLACEMENT_3D('',#9803,#7553,#7554); #6461=AXIS2_PLACEMENT_3D('',#9806,#7556,#7557); #6462=AXIS2_PLACEMENT_3D('',#9810,#7560,#7561); #6463=AXIS2_PLACEMENT_3D('',#9811,#7562,#7563); #6464=AXIS2_PLACEMENT_3D('',#9812,#7564,#7565); #6465=AXIS2_PLACEMENT_3D('',#9813,#7566,#7567); #6466=AXIS2_PLACEMENT_3D('',#9814,#7568,#7569); #6467=AXIS2_PLACEMENT_3D('',#9817,#7571,#7572); #6468=AXIS2_PLACEMENT_3D('',#9821,#7575,#7576); #6469=AXIS2_PLACEMENT_3D('',#9822,#7577,#7578); #6470=AXIS2_PLACEMENT_3D('',#9825,#7580,#7581); #6471=AXIS2_PLACEMENT_3D('',#9826,#7582,#7583); #6472=AXIS2_PLACEMENT_3D('',#9829,#7585,#7586); #6473=AXIS2_PLACEMENT_3D('',#9830,#7587,#7588); #6474=AXIS2_PLACEMENT_3D('',#9833,#7590,#7591); #6475=AXIS2_PLACEMENT_3D('',#9837,#7594,#7595); #6476=AXIS2_PLACEMENT_3D('',#9838,#7596,#7597); #6477=AXIS2_PLACEMENT_3D('',#9839,#7598,#7599); #6478=AXIS2_PLACEMENT_3D('',#9840,#7600,#7601); #6479=AXIS2_PLACEMENT_3D('',#9841,#7602,#7603); #6480=AXIS2_PLACEMENT_3D('',#9845,#7605,#7606); #6481=AXIS2_PLACEMENT_3D('',#9847,#7607,#7608); #6482=AXIS2_PLACEMENT_3D('',#9849,#7609,#7610); #6483=AXIS2_PLACEMENT_3D('',#9853,#7612,#7613); #6484=AXIS2_PLACEMENT_3D('',#9854,#7614,#7615); #6485=AXIS2_PLACEMENT_3D('',#9856,#7617,#7618); #6486=AXIS2_PLACEMENT_3D('',#9858,#7620,#7621); #6487=AXIS2_PLACEMENT_3D('',#9860,#7623,#7624); #6488=AXIS2_PLACEMENT_3D('',#9862,#7626,#7627); #6489=AXIS2_PLACEMENT_3D('',#9864,#7629,#7630); #6490=AXIS2_PLACEMENT_3D('',#9866,#7632,#7633); #6491=AXIS2_PLACEMENT_3D('',#9867,#7634,#7635); #6492=AXIS2_PLACEMENT_3D('',#9869,#7637,#7638); #6493=AXIS2_PLACEMENT_3D('',#9870,#7639,#7640); #6494=AXIS2_PLACEMENT_3D('',#9872,#7642,#7643); #6495=AXIS2_PLACEMENT_3D('',#9873,#7644,#7645); #6496=AXIS2_PLACEMENT_3D('',#9875,#7647,#7648); #6497=AXIS2_PLACEMENT_3D('',#9876,#7649,#7650); #6498=AXIS2_PLACEMENT_3D('',#9878,#7652,#7653); #6499=AXIS2_PLACEMENT_3D('',#9879,#7654,#7655); #6500=AXIS2_PLACEMENT_3D('',#9881,#7657,#7658); #6501=AXIS2_PLACEMENT_3D('',#9882,#7659,#7660); #6502=AXIS2_PLACEMENT_3D('',#9884,#7662,#7663); #6503=AXIS2_PLACEMENT_3D('',#9885,#7664,#7665); #6504=AXIS2_PLACEMENT_3D('',#9887,#7667,#7668); #6505=AXIS2_PLACEMENT_3D('',#9888,#7669,#7670); #6506=AXIS2_PLACEMENT_3D('',#9890,#7672,#7673); #6507=AXIS2_PLACEMENT_3D('',#9891,#7674,#7675); #6508=AXIS2_PLACEMENT_3D('',#9893,#7677,#7678); #6509=AXIS2_PLACEMENT_3D('',#9894,#7679,#7680); #6510=AXIS2_PLACEMENT_3D('',#9896,#7682,#7683); #6511=AXIS2_PLACEMENT_3D('',#9897,#7684,#7685); #6512=AXIS2_PLACEMENT_3D('',#9899,#7687,#7688); #6513=AXIS2_PLACEMENT_3D('',#9900,#7689,#7690); #6514=AXIS2_PLACEMENT_3D('',#9902,#7692,#7693); #6515=AXIS2_PLACEMENT_3D('',#9903,#7694,#7695); #6516=AXIS2_PLACEMENT_3D('',#9905,#7697,#7698); #6517=AXIS2_PLACEMENT_3D('',#9906,#7699,#7700); #6518=AXIS2_PLACEMENT_3D('',#9908,#7702,#7703); #6519=AXIS2_PLACEMENT_3D('',#9909,#7704,#7705); #6520=AXIS2_PLACEMENT_3D('',#9911,#7707,#7708); #6521=AXIS2_PLACEMENT_3D('',#9912,#7709,#7710); #6522=AXIS2_PLACEMENT_3D('',#9914,#7712,#7713); #6523=AXIS2_PLACEMENT_3D('',#9915,#7714,#7715); #6524=AXIS2_PLACEMENT_3D('',#9917,#7717,#7718); #6525=AXIS2_PLACEMENT_3D('',#9918,#7719,#7720); #6526=AXIS2_PLACEMENT_3D('',#9920,#7722,#7723); #6527=AXIS2_PLACEMENT_3D('',#9921,#7724,#7725); #6528=AXIS2_PLACEMENT_3D('',#9923,#7727,#7728); #6529=AXIS2_PLACEMENT_3D('',#9924,#7729,#7730); #6530=AXIS2_PLACEMENT_3D('',#9926,#7732,#7733); #6531=AXIS2_PLACEMENT_3D('',#9927,#7734,#7735); #6532=AXIS2_PLACEMENT_3D('',#9928,#7736,#7737); #6533=AXIS2_PLACEMENT_3D('',#9929,#7738,#7739); #6534=AXIS2_PLACEMENT_3D('',#9930,#7740,#7741); #6535=AXIS2_PLACEMENT_3D('',#9936,#7745,#7746); #6536=AXIS2_PLACEMENT_3D('',#9940,#7749,#7750); #6537=AXIS2_PLACEMENT_3D('',#9944,#7753,#7754); #6538=AXIS2_PLACEMENT_3D('',#9948,#7757,#7758); #6539=AXIS2_PLACEMENT_3D('',#9952,#7761,#7762); #6540=AXIS2_PLACEMENT_3D('',#9956,#7765,#7766); #6541=AXIS2_PLACEMENT_3D('',#9960,#7769,#7770); #6542=AXIS2_PLACEMENT_3D('',#9969,#7775,#7776); #6543=AXIS2_PLACEMENT_3D('',#9975,#7780,#7781); #6544=AXIS2_PLACEMENT_3D('',#9978,#7784,#7785); #6545=AXIS2_PLACEMENT_3D('',#9980,#7787,#7788); #6546=AXIS2_PLACEMENT_3D('',#9981,#7789,#7790); #6547=AXIS2_PLACEMENT_3D('',#9982,#7791,#7792); #6548=AXIS2_PLACEMENT_3D('',#9988,#7796,#7797); #6549=AXIS2_PLACEMENT_3D('',#9992,#7800,#7801); #6550=AXIS2_PLACEMENT_3D('',#9996,#7804,#7805); #6551=AXIS2_PLACEMENT_3D('',#9999,#7807,#7808); #6552=AXIS2_PLACEMENT_3D('',#10000,#7809,#7810); #6553=AXIS2_PLACEMENT_3D('',#10009,#7815,#7816); #6554=AXIS2_PLACEMENT_3D('',#10010,#7817,#7818); #6555=AXIS2_PLACEMENT_3D('',#10014,#7820,#7821); #6556=AXIS2_PLACEMENT_3D('',#10015,#7822,#7823); #6557=AXIS2_PLACEMENT_3D('',#10021,#7827,#7828); #6558=AXIS2_PLACEMENT_3D('',#10030,#7833,#7834); #6559=AXIS2_PLACEMENT_3D('',#10036,#7838,#7839); #6560=AXIS2_PLACEMENT_3D('',#10037,#7840,#7841); #6561=AXIS2_PLACEMENT_3D('',#10041,#7843,#7844); #6562=AXIS2_PLACEMENT_3D('',#10042,#7845,#7846); #6563=AXIS2_PLACEMENT_3D('',#10045,#7849,#7850); #6564=AXIS2_PLACEMENT_3D('',#10048,#7853,#7854); #6565=AXIS2_PLACEMENT_3D('',#10049,#7855,#7856); #6566=AXIS2_PLACEMENT_3D('',#10050,#7857,#7858); #6567=AXIS2_PLACEMENT_3D('',#10051,#7859,#7860); #6568=AXIS2_PLACEMENT_3D('',#10052,#7861,#7862); #6569=AXIS2_PLACEMENT_3D('',#10080,#7867,#7868); #6570=AXIS2_PLACEMENT_3D('',#10102,#7872,#7873); #6571=AXIS2_PLACEMENT_3D('',#10128,#7877,#7878); #6572=AXIS2_PLACEMENT_3D('',#10150,#7882,#7883); #6573=AXIS2_PLACEMENT_3D('',#10176,#7887,#7888); #6574=AXIS2_PLACEMENT_3D('',#10196,#7891,#7892); #6575=AXIS2_PLACEMENT_3D('',#10197,#7893,#7894); #6576=AXIS2_PLACEMENT_3D('',#10198,#7895,#7896); #6577=AXIS2_PLACEMENT_3D('',#10203,#7898,#7899); #6578=AXIS2_PLACEMENT_3D('',#10207,#7901,#7902); #6579=AXIS2_PLACEMENT_3D('',#10211,#7904,#7905); #6580=AXIS2_PLACEMENT_3D('',#10215,#7907,#7908); #6581=AXIS2_PLACEMENT_3D('',#10219,#7910,#7911); #6582=AXIS2_PLACEMENT_3D('',#10223,#7913,#7914); #6583=AXIS2_PLACEMENT_3D('',#10227,#7916,#7917); #6584=AXIS2_PLACEMENT_3D('',#10231,#7919,#7920); #6585=AXIS2_PLACEMENT_3D('',#10235,#7922,#7923); #6586=AXIS2_PLACEMENT_3D('',#10238,#7925,#7926); #6587=AXIS2_PLACEMENT_3D('',#10241,#7929,#7930); #6588=AXIS2_PLACEMENT_3D('',#10243,#7932,#7933); #6589=AXIS2_PLACEMENT_3D('',#10245,#7935,#7936); #6590=AXIS2_PLACEMENT_3D('',#10250,#7940,#7941); #6591=AXIS2_PLACEMENT_3D('',#10257,#7947,#7948); #6592=AXIS2_PLACEMENT_3D('',#10259,#7949,#7950); #6593=AXIS2_PLACEMENT_3D('',#10261,#7952,#7953); #6594=AXIS2_PLACEMENT_3D('',#10263,#7955,#7956); #6595=AXIS2_PLACEMENT_3D('',#10264,#7957,#7958); #6596=AXIS2_PLACEMENT_3D('',#10266,#7960,#7961); #6597=AXIS2_PLACEMENT_3D('',#10268,#7963,#7964); #6598=AXIS2_PLACEMENT_3D('',#10269,#7965,#7966); #6599=AXIS2_PLACEMENT_3D('',#10273,#7969,#7970); #6600=AXIS2_PLACEMENT_3D('',#10274,#7971,#7972); #6601=AXIS2_PLACEMENT_3D('',#10277,#7974,#7975); #6602=AXIS2_PLACEMENT_3D('',#10279,#7977,#7978); #6603=AXIS2_PLACEMENT_3D('',#10281,#7980,#7981); #6604=AXIS2_PLACEMENT_3D('',#10283,#7983,#7984); #6605=AXIS2_PLACEMENT_3D('',#10284,#7985,#7986); #6606=AXIS2_PLACEMENT_3D('',#10285,#7987,#7988); #6607=AXIS2_PLACEMENT_3D('',#10286,#7989,#7990); #6608=AXIS2_PLACEMENT_3D('',#10288,#7991,#7992); #6609=AXIS2_PLACEMENT_3D('',#10289,#7993,#7994); #6610=AXIS2_PLACEMENT_3D('',#10291,#7995,#7996); #6611=AXIS2_PLACEMENT_3D('',#10292,#7997,#7998); #6612=AXIS2_PLACEMENT_3D('',#10294,#7999,#8000); #6613=AXIS2_PLACEMENT_3D('',#10295,#8001,#8002); #6614=AXIS2_PLACEMENT_3D('',#10297,#8003,#8004); #6615=AXIS2_PLACEMENT_3D('',#10298,#8005,#8006); #6616=AXIS2_PLACEMENT_3D('',#10303,#8008,#8009); #6617=AXIS2_PLACEMENT_3D('',#10317,#8016,#8017); #6618=AXIS2_PLACEMENT_3D('',#10332,#8025,#8026); #6619=AXIS2_PLACEMENT_3D('',#10335,#8027,#8028); #6620=AXIS2_PLACEMENT_3D('',#10358,#8040,#8041); #6621=AXIS2_PLACEMENT_3D('',#10360,#8042,#8043); #6622=AXIS2_PLACEMENT_3D('',#10362,#8044,#8045); #6623=AXIS2_PLACEMENT_3D('',#10364,#8046,#8047); #6624=AXIS2_PLACEMENT_3D('',#10370,#8051,#8052); #6625=AXIS2_PLACEMENT_3D('',#10374,#8055,#8056); #6626=AXIS2_PLACEMENT_3D('',#10378,#8059,#8060); #6627=AXIS2_PLACEMENT_3D('',#10382,#8063,#8064); #6628=AXIS2_PLACEMENT_3D('',#10386,#8067,#8068); #6629=AXIS2_PLACEMENT_3D('',#10390,#8071,#8072); #6630=AXIS2_PLACEMENT_3D('',#10392,#8074,#8075); #6631=AXIS2_PLACEMENT_3D('',#10393,#8076,#8077); #6632=AXIS2_PLACEMENT_3D('',#10396,#8079,#8080); #6633=AXIS2_PLACEMENT_3D('',#10399,#8082,#8083); #6634=AXIS2_PLACEMENT_3D('',#10403,#8086,#8087); #6635=AXIS2_PLACEMENT_3D('',#10406,#8089,#8090); #6636=AXIS2_PLACEMENT_3D('',#10407,#8091,#8092); #6637=AXIS2_PLACEMENT_3D('',#10411,#8095,#8096); #6638=AXIS2_PLACEMENT_3D('',#10415,#8099,#8100); #6639=AXIS2_PLACEMENT_3D('',#10419,#8103,#8104); #6640=AXIS2_PLACEMENT_3D('',#10423,#8107,#8108); #6641=AXIS2_PLACEMENT_3D('',#10427,#8111,#8112); #6642=AXIS2_PLACEMENT_3D('',#10429,#8114,#8115); #6643=AXIS2_PLACEMENT_3D('',#10430,#8116,#8117); #6644=AXIS2_PLACEMENT_3D('',#10431,#8118,#8119); #6645=AXIS2_PLACEMENT_3D('',#10433,#8120,#8121); #6646=AXIS2_PLACEMENT_3D('',#10434,#8122,#8123); #6647=AXIS2_PLACEMENT_3D('',#10435,#8124,#8125); #6648=AXIS2_PLACEMENT_3D('',#10437,#8126,#8127); #6649=AXIS2_PLACEMENT_3D('',#10438,#8128,#8129); #6650=AXIS2_PLACEMENT_3D('',#10439,#8130,#8131); #6651=AXIS2_PLACEMENT_3D('',#10441,#8132,#8133); #6652=AXIS2_PLACEMENT_3D('',#10442,#8134,#8135); #6653=AXIS2_PLACEMENT_3D('',#10443,#8136,#8137); #6654=AXIS2_PLACEMENT_3D('',#10445,#8138,#8139); #6655=AXIS2_PLACEMENT_3D('',#10446,#8140,#8141); #6656=AXIS2_PLACEMENT_3D('',#10452,#8145,#8146); #6657=AXIS2_PLACEMENT_3D('',#10456,#8149,#8150); #6658=AXIS2_PLACEMENT_3D('',#10460,#8153,#8154); #6659=AXIS2_PLACEMENT_3D('',#10464,#8157,#8158); #6660=AXIS2_PLACEMENT_3D('',#10468,#8161,#8162); #6661=AXIS2_PLACEMENT_3D('',#10472,#8165,#8166); #6662=AXIS2_PLACEMENT_3D('',#10476,#8169,#8170); #6663=AXIS2_PLACEMENT_3D('',#10485,#8175,#8176); #6664=AXIS2_PLACEMENT_3D('',#10491,#8180,#8181); #6665=AXIS2_PLACEMENT_3D('',#10494,#8184,#8185); #6666=AXIS2_PLACEMENT_3D('',#10496,#8187,#8188); #6667=AXIS2_PLACEMENT_3D('',#10497,#8189,#8190); #6668=AXIS2_PLACEMENT_3D('',#10498,#8191,#8192); #6669=AXIS2_PLACEMENT_3D('',#10501,#8194,#8195); #6670=AXIS2_PLACEMENT_3D('',#10504,#8197,#8198); #6671=AXIS2_PLACEMENT_3D('',#10505,#8199,#8200); #6672=AXIS2_PLACEMENT_3D('',#10508,#8202,#8203); #6673=AXIS2_PLACEMENT_3D('',#10512,#8206,#8207); #6674=AXIS2_PLACEMENT_3D('',#10516,#8210,#8211); #6675=AXIS2_PLACEMENT_3D('',#10525,#8216,#8217); #6676=AXIS2_PLACEMENT_3D('',#10531,#8221,#8222); #6677=AXIS2_PLACEMENT_3D('',#10537,#8226,#8227); #6678=AXIS2_PLACEMENT_3D('',#10546,#8232,#8233); #6679=AXIS2_PLACEMENT_3D('',#10552,#8237,#8238); #6680=AXIS2_PLACEMENT_3D('',#10558,#8242,#8243); #6681=AXIS2_PLACEMENT_3D('',#10559,#8244,#8245); #6682=AXIS2_PLACEMENT_3D('',#10560,#8246,#8247); #6683=AXIS2_PLACEMENT_3D('',#10561,#8248,#8249); #6684=AXIS2_PLACEMENT_3D('',#10562,#8250,#8251); #6685=AXIS2_PLACEMENT_3D('',#10563,#8252,#8253); #6686=AXIS2_PLACEMENT_3D('',#10564,#8254,#8255); #6687=AXIS2_PLACEMENT_3D('',#10566,#8257,#8258); #6688=AXIS2_PLACEMENT_3D('',#10567,#8259,#8260); #6689=AXIS2_PLACEMENT_3D('',#10568,#8261,#8262); #6690=AXIS2_PLACEMENT_3D('',#10569,#8263,#8264); #6691=AXIS2_PLACEMENT_3D('',#10575,#8268,#8269); #6692=AXIS2_PLACEMENT_3D('',#10579,#8272,#8273); #6693=AXIS2_PLACEMENT_3D('',#10583,#8276,#8277); #6694=AXIS2_PLACEMENT_3D('',#10587,#8280,#8281); #6695=AXIS2_PLACEMENT_3D('',#10591,#8284,#8285); #6696=AXIS2_PLACEMENT_3D('',#10595,#8288,#8289); #6697=AXIS2_PLACEMENT_3D('',#10597,#8291,#8292); #6698=AXIS2_PLACEMENT_3D('',#10598,#8293,#8294); #6699=AXIS2_PLACEMENT_3D('',#10604,#8298,#8299); #6700=AXIS2_PLACEMENT_3D('',#10605,#8300,#8301); #6701=AXIS2_PLACEMENT_3D('',#10608,#8303,#8304); #6702=AXIS2_PLACEMENT_3D('',#10612,#8307,#8308); #6703=AXIS2_PLACEMENT_3D('',#10616,#8311,#8312); #6704=AXIS2_PLACEMENT_3D('',#10620,#8315,#8316); #6705=AXIS2_PLACEMENT_3D('',#10624,#8319,#8320); #6706=AXIS2_PLACEMENT_3D('',#10628,#8323,#8324); #6707=AXIS2_PLACEMENT_3D('',#10632,#8327,#8328); #6708=AXIS2_PLACEMENT_3D('',#10633,#8329,#8330); #6709=AXIS2_PLACEMENT_3D('',#10634,#8331,#8332); #6710=AXIS2_PLACEMENT_3D('',#10635,#8333,#8334); #6711=AXIS2_PLACEMENT_3D('',#10636,#8335,#8336); #6712=AXIS2_PLACEMENT_3D('',#10638,#8337,#8338); #6713=AXIS2_PLACEMENT_3D('',#10639,#8339,#8340); #6714=AXIS2_PLACEMENT_3D('',#10641,#8341,#8342); #6715=AXIS2_PLACEMENT_3D('',#10642,#8343,#8344); #6716=AXIS2_PLACEMENT_3D('',#10644,#8345,#8346); #6717=AXIS2_PLACEMENT_3D('',#10645,#8347,#8348); #6718=AXIS2_PLACEMENT_3D('',#10647,#8349,#8350); #6719=AXIS2_PLACEMENT_3D('',#10648,#8351,#8352); #6720=AXIS2_PLACEMENT_3D('',#10650,#8353,#8354); #6721=AXIS2_PLACEMENT_3D('',#10651,#8355,#8356); #6722=AXIS2_PLACEMENT_3D('',#10653,#8357,#8358); #6723=AXIS2_PLACEMENT_3D('',#10654,#8359,#8360); #6724=AXIS2_PLACEMENT_3D('',#10656,#8361,#8362); #6725=AXIS2_PLACEMENT_3D('',#10657,#8363,#8364); #6726=AXIS2_PLACEMENT_3D('',#10658,#8365,#8366); #6727=AXIS2_PLACEMENT_3D('',#10660,#8367,#8368); #6728=AXIS2_PLACEMENT_3D('',#10661,#8369,#8370); #6729=AXIS2_PLACEMENT_3D('',#10663,#8371,#8372); #6730=AXIS2_PLACEMENT_3D('',#10664,#8373,#8374); #6731=AXIS2_PLACEMENT_3D('',#10666,#8375,#8376); #6732=AXIS2_PLACEMENT_3D('',#10667,#8377,#8378); #6733=AXIS2_PLACEMENT_3D('',#10669,#8379,#8380); #6734=AXIS2_PLACEMENT_3D('',#10670,#8381,#8382); #6735=AXIS2_PLACEMENT_3D('',#10672,#8383,#8384); #6736=AXIS2_PLACEMENT_3D('',#10673,#8385,#8386); #6737=AXIS2_PLACEMENT_3D('',#10675,#8387,#8388); #6738=AXIS2_PLACEMENT_3D('',#10676,#8389,#8390); #6739=AXIS2_PLACEMENT_3D('',#10678,#8391,#8392); #6740=AXIS2_PLACEMENT_3D('',#10679,#8393,#8394); #6741=AXIS2_PLACEMENT_3D('',#10680,#8395,#8396); #6742=AXIS2_PLACEMENT_3D('',#10681,#8397,#8398); #6743=AXIS2_PLACEMENT_3D('',#10682,#8399,#8400); #6744=AXIS2_PLACEMENT_3D('',#10684,#8401,#8402); #6745=AXIS2_PLACEMENT_3D('',#10685,#8403,#8404); #6746=AXIS2_PLACEMENT_3D('',#10687,#8405,#8406); #6747=AXIS2_PLACEMENT_3D('',#10688,#8407,#8408); #6748=AXIS2_PLACEMENT_3D('',#10690,#8409,#8410); #6749=AXIS2_PLACEMENT_3D('',#10691,#8411,#8412); #6750=AXIS2_PLACEMENT_3D('',#10693,#8413,#8414); #6751=AXIS2_PLACEMENT_3D('',#10694,#8415,#8416); #6752=AXIS2_PLACEMENT_3D('',#10696,#8417,#8418); #6753=AXIS2_PLACEMENT_3D('',#10697,#8419,#8420); #6754=AXIS2_PLACEMENT_3D('',#10699,#8421,#8422); #6755=AXIS2_PLACEMENT_3D('',#10700,#8423,#8424); #6756=AXIS2_PLACEMENT_3D('',#10702,#8425,#8426); #6757=AXIS2_PLACEMENT_3D('',#10703,#8427,#8428); #6758=AXIS2_PLACEMENT_3D('',#10704,#8429,#8430); #6759=AXIS2_PLACEMENT_3D('',#10705,#8431,#8432); #6760=AXIS2_PLACEMENT_3D('',#10706,#8433,#8434); #6761=AXIS2_PLACEMENT_3D('',#10708,#8435,#8436); #6762=AXIS2_PLACEMENT_3D('',#10709,#8437,#8438); #6763=AXIS2_PLACEMENT_3D('',#10711,#8439,#8440); #6764=AXIS2_PLACEMENT_3D('',#10712,#8441,#8442); #6765=AXIS2_PLACEMENT_3D('',#10714,#8443,#8444); #6766=AXIS2_PLACEMENT_3D('',#10715,#8445,#8446); #6767=AXIS2_PLACEMENT_3D('',#10717,#8447,#8448); #6768=AXIS2_PLACEMENT_3D('',#10718,#8449,#8450); #6769=AXIS2_PLACEMENT_3D('',#10720,#8451,#8452); #6770=AXIS2_PLACEMENT_3D('',#10721,#8453,#8454); #6771=AXIS2_PLACEMENT_3D('',#10723,#8455,#8456); #6772=AXIS2_PLACEMENT_3D('',#10724,#8457,#8458); #6773=AXIS2_PLACEMENT_3D('',#10726,#8459,#8460); #6774=AXIS2_PLACEMENT_3D('',#10727,#8461,#8462); #6775=AXIS2_PLACEMENT_3D('',#10733,#8466,#8467); #6776=AXIS2_PLACEMENT_3D('',#10736,#8469,#8470); #6777=AXIS2_PLACEMENT_3D('',#10737,#8471,#8472); #6778=AXIS2_PLACEMENT_3D('',#10741,#8475,#8476); #6779=AXIS2_PLACEMENT_3D('',#10744,#8478,#8479); #6780=AXIS2_PLACEMENT_3D('',#10745,#8480,#8481); #6781=AXIS2_PLACEMENT_3D('',#10749,#8484,#8485); #6782=AXIS2_PLACEMENT_3D('',#10752,#8487,#8488); #6783=AXIS2_PLACEMENT_3D('',#10753,#8489,#8490); #6784=AXIS2_PLACEMENT_3D('',#10757,#8493,#8494); #6785=AXIS2_PLACEMENT_3D('',#10760,#8496,#8497); #6786=AXIS2_PLACEMENT_3D('',#10761,#8498,#8499); #6787=AXIS2_PLACEMENT_3D('',#10765,#8502,#8503); #6788=AXIS2_PLACEMENT_3D('',#10768,#8505,#8506); #6789=AXIS2_PLACEMENT_3D('',#10769,#8507,#8508); #6790=AXIS2_PLACEMENT_3D('',#10773,#8511,#8512); #6791=AXIS2_PLACEMENT_3D('',#10776,#8514,#8515); #6792=AXIS2_PLACEMENT_3D('',#10777,#8516,#8517); #6793=AXIS2_PLACEMENT_3D('',#10781,#8520,#8521); #6794=AXIS2_PLACEMENT_3D('',#10784,#8523,#8524); #6795=AXIS2_PLACEMENT_3D('',#10785,#8525,#8526); #6796=AXIS2_PLACEMENT_3D('',#10789,#8529,#8530); #6797=AXIS2_PLACEMENT_3D('',#10790,#8531,#8532); #6798=AXIS2_PLACEMENT_3D('',#10791,#8533,#8534); #6799=DIRECTION('',(0.,0.,1.)); #6800=DIRECTION('',(1.,0.,0.)); #6801=DIRECTION('',(1.,0.,0.)); #6802=DIRECTION('',(0.,0.,-1.)); #6803=DIRECTION('',(1.,0.,0.)); #6804=DIRECTION('',(0.,0.,-1.)); #6805=DIRECTION('',(0.,0.,1.)); #6806=DIRECTION('',(1.,0.,0.)); #6807=DIRECTION('',(0.,0.,-1.)); #6808=DIRECTION('',(0.,5.16286748802621E-16,1.)); #6809=DIRECTION('',(1.,0.,0.)); #6810=DIRECTION('',(0.,0.,-1.)); #6811=DIRECTION('',(0.,-0.490503935924494,-0.871438975971685)); #6812=DIRECTION('',(1.,0.,0.)); #6813=DIRECTION('',(0.,0.,-1.)); #6814=DIRECTION('',(0.,0.,1.)); #6815=DIRECTION('',(1.,0.,0.)); #6816=DIRECTION('',(0.,0.,-1.)); #6817=DIRECTION('',(0.,5.16286748802621E-16,-1.)); #6818=DIRECTION('',(1.,0.,0.)); #6819=DIRECTION('',(0.,0.,-1.)); #6820=DIRECTION('',(0.,2.58143374401311E-16,-1.)); #6821=DIRECTION('',(1.,0.,0.)); #6822=DIRECTION('',(0.,0.,-1.)); #6823=DIRECTION('',(0.,0.490503935924494,0.871438975971686)); #6824=DIRECTION('',(1.,0.,0.)); #6825=DIRECTION('',(0.,0.,-1.)); #6826=DIRECTION('',(0.,0.,-1.)); #6827=DIRECTION('',(-1.,0.,0.)); #6828=DIRECTION('',(0.,0.,1.)); #6829=DIRECTION('',(1.,0.,0.)); #6830=DIRECTION('',(0.,0.,-1.)); #6831=DIRECTION('',(0.,-1.,0.)); #6832=DIRECTION('',(0.,0.,1.)); #6833=DIRECTION('',(1.,0.,0.)); #6834=DIRECTION('',(0.,0.,-1.)); #6835=DIRECTION('',(1.,0.,0.)); #6836=DIRECTION('',(0.,0.,-1.)); #6837=DIRECTION('',(0.,0.,-1.)); #6838=DIRECTION('',(1.,0.,0.)); #6839=DIRECTION('',(0.,0.,-1.)); #6840=DIRECTION('',(-1.,0.,0.)); #6841=DIRECTION('',(0.,0.,1.)); #6842=DIRECTION('',(1.,0.,0.)); #6843=DIRECTION('',(0.,0.,-1.)); #6844=DIRECTION('',(1.,0.,0.)); #6845=DIRECTION('',(0.,0.,-1.)); #6846=DIRECTION('',(0.,0.,-1.)); #6847=DIRECTION('',(0.,-0.923076921984524,0.384615387237142)); #6848=DIRECTION('',(0.,0.,1.)); #6849=DIRECTION('',(0.,0.923076921984524,0.384615387237142)); #6850=DIRECTION('',(0.,0.,-1.)); #6851=DIRECTION('',(0.,-0.923076921984516,-0.384615387237161)); #6852=DIRECTION('',(0.,0.,-1.)); #6853=DIRECTION('',(0.,0.923076921984516,-0.384615387237162)); #6854=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #6855=DIRECTION('',(0.,-1.,0.)); #6856=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #6857=DIRECTION('',(0.,0.,1.)); #6858=DIRECTION('',(0.,1.,0.)); #6859=DIRECTION('',(0.,0.,-1.)); #6860=DIRECTION('',(0.,-1.,0.)); #6861=DIRECTION('',(0.,0.,-1.)); #6862=DIRECTION('',(1.,0.,0.)); #6863=DIRECTION('',(0.,0.,-1.)); #6864=DIRECTION('',(0.,0.81264114690115,-0.58276441755068)); #6865=DIRECTION('',(0.,0.,1.)); #6866=DIRECTION('',(0.,1.,0.)); #6867=DIRECTION('',(0.,0.,-1.)); #6868=DIRECTION('',(0.,-1.,0.)); #6869=DIRECTION('',(0.,-0.812641146901149,0.582764417550682)); #6870=DIRECTION('',(1.,0.,0.)); #6871=DIRECTION('',(0.,0.,-1.)); #6872=DIRECTION('',(1.,0.,0.)); #6873=DIRECTION('',(0.,0.,-1.)); #6874=DIRECTION('',(0.,1.,0.)); #6875=DIRECTION('',(1.,0.,0.)); #6876=DIRECTION('',(0.,0.,-1.)); #6877=DIRECTION('',(0.,-1.,0.)); #6878=DIRECTION('',(1.,0.,0.)); #6879=DIRECTION('',(0.,0.,-1.)); #6880=DIRECTION('',(1.,0.,0.)); #6881=DIRECTION('',(0.,0.,-1.)); #6882=DIRECTION('',(0.,-0.0871557427476581,0.996194698091746)); #6883=DIRECTION('',(1.,0.,0.)); #6884=DIRECTION('',(0.,0.,-1.)); #6885=DIRECTION('',(0.,0.0871557427476581,-0.996194698091746)); #6886=DIRECTION('',(1.,0.,0.)); #6887=DIRECTION('',(0.,0.,-1.)); #6888=DIRECTION('',(1.,0.,0.)); #6889=DIRECTION('',(0.,0.,-1.)); #6890=DIRECTION('',(0.,-0.81915204428899,0.573576436351049)); #6891=DIRECTION('',(1.,0.,0.)); #6892=DIRECTION('',(0.,0.,-1.)); #6893=DIRECTION('',(0.,0.819152044288992,-0.573576436351046)); #6894=DIRECTION('',(1.,0.,0.)); #6895=DIRECTION('',(0.,0.,-1.)); #6896=DIRECTION('',(1.,0.,0.)); #6897=DIRECTION('',(0.,0.,-1.)); #6898=DIRECTION('',(0.,-0.819152044288988,-0.573576436351051)); #6899=DIRECTION('',(1.,0.,0.)); #6900=DIRECTION('',(0.,0.,-1.)); #6901=DIRECTION('',(0.,0.819152044288993,0.573576436351045)); #6902=DIRECTION('',(1.,0.,0.)); #6903=DIRECTION('',(0.,0.,-1.)); #6904=DIRECTION('',(1.,0.,0.)); #6905=DIRECTION('',(0.,0.,-1.)); #6906=DIRECTION('',(1.,0.,0.)); #6907=DIRECTION('',(0.,0.,-1.)); #6908=DIRECTION('',(1.,0.,0.)); #6909=DIRECTION('',(0.,0.,-1.)); #6910=DIRECTION('',(1.,0.,0.)); #6911=DIRECTION('',(0.,0.,-1.)); #6912=DIRECTION('',(1.,0.,0.)); #6913=DIRECTION('',(0.,0.,-1.)); #6914=DIRECTION('',(1.,0.,0.)); #6915=DIRECTION('',(0.,0.,-1.)); #6916=DIRECTION('',(1.,0.,0.)); #6917=DIRECTION('',(0.,0.,-1.)); #6918=DIRECTION('',(1.,0.,0.)); #6919=DIRECTION('',(0.,0.,-1.)); #6920=DIRECTION('',(1.,0.,0.)); #6921=DIRECTION('',(0.,0.,-1.)); #6922=DIRECTION('',(1.,0.,0.)); #6923=DIRECTION('',(0.,0.,-1.)); #6924=DIRECTION('',(1.,0.,0.)); #6925=DIRECTION('',(0.,0.,-1.)); #6926=DIRECTION('',(1.,0.,0.)); #6927=DIRECTION('',(0.,0.,-1.)); #6928=DIRECTION('',(1.,0.,0.)); #6929=DIRECTION('',(0.,0.,-1.)); #6930=DIRECTION('',(1.,0.,0.)); #6931=DIRECTION('',(0.,0.,-1.)); #6932=DIRECTION('',(1.,0.,0.)); #6933=DIRECTION('',(0.,0.,-1.)); #6934=DIRECTION('',(1.,0.,0.)); #6935=DIRECTION('',(0.,0.,-1.)); #6936=DIRECTION('',(1.,0.,0.)); #6937=DIRECTION('',(0.,0.,-1.)); #6938=DIRECTION('',(1.,0.,0.)); #6939=DIRECTION('',(0.,0.,-1.)); #6940=DIRECTION('',(1.,0.,0.)); #6941=DIRECTION('',(0.,0.,-1.)); #6942=DIRECTION('',(1.,0.,0.)); #6943=DIRECTION('',(0.,0.,-1.)); #6944=DIRECTION('',(1.,0.,0.)); #6945=DIRECTION('',(0.,0.,-1.)); #6946=DIRECTION('',(1.,0.,0.)); #6947=DIRECTION('',(0.,0.,-1.)); #6948=DIRECTION('',(1.,0.,0.)); #6949=DIRECTION('',(0.,0.,-1.)); #6950=DIRECTION('',(1.,0.,0.)); #6951=DIRECTION('',(0.,0.,-1.)); #6952=DIRECTION('',(1.,0.,0.)); #6953=DIRECTION('',(0.,0.,-1.)); #6954=DIRECTION('',(1.,0.,0.)); #6955=DIRECTION('',(0.,0.,-1.)); #6956=DIRECTION('',(1.,0.,0.)); #6957=DIRECTION('',(0.,0.,-1.)); #6958=DIRECTION('',(1.,0.,0.)); #6959=DIRECTION('',(0.,0.,-1.)); #6960=DIRECTION('',(1.,0.,0.)); #6961=DIRECTION('',(0.,0.,-1.)); #6962=DIRECTION('',(1.,0.,0.)); #6963=DIRECTION('',(0.,0.,-1.)); #6964=DIRECTION('',(1.,0.,0.)); #6965=DIRECTION('',(0.,0.,-1.)); #6966=DIRECTION('',(1.,0.,0.)); #6967=DIRECTION('',(1.,0.,0.)); #6968=DIRECTION('',(1.,0.,0.)); #6969=DIRECTION('',(0.,0.,-1.)); #6970=DIRECTION('',(1.,0.,0.)); #6971=DIRECTION('',(0.,0.,-1.)); #6972=DIRECTION('',(1.,0.,0.)); #6973=DIRECTION('',(1.,0.,0.)); #6974=DIRECTION('',(0.,0.,-1.)); #6975=DIRECTION('',(1.,0.,0.)); #6976=DIRECTION('',(1.,0.,0.)); #6977=DIRECTION('',(0.,0.,-1.)); #6978=DIRECTION('',(1.,0.,0.)); #6979=DIRECTION('',(1.,0.,0.)); #6980=DIRECTION('',(0.,0.,-1.)); #6981=DIRECTION('',(1.,0.,0.)); #6982=DIRECTION('',(1.,0.,0.)); #6983=DIRECTION('',(0.,0.,-1.)); #6984=DIRECTION('',(1.,0.,0.)); #6985=DIRECTION('',(1.,0.,0.)); #6986=DIRECTION('',(0.,0.,-1.)); #6987=DIRECTION('',(1.,0.,0.)); #6988=DIRECTION('',(1.,0.,0.)); #6989=DIRECTION('',(0.,0.,-1.)); #6990=DIRECTION('',(1.,0.,0.)); #6991=DIRECTION('',(1.,0.,0.)); #6992=DIRECTION('',(0.,0.,-1.)); #6993=DIRECTION('',(1.,0.,0.)); #6994=DIRECTION('',(1.,0.,0.)); #6995=DIRECTION('',(0.,0.,-1.)); #6996=DIRECTION('',(1.,0.,0.)); #6997=DIRECTION('',(1.,0.,0.)); #6998=DIRECTION('',(0.,0.,-1.)); #6999=DIRECTION('',(1.,0.,0.)); #7000=DIRECTION('',(1.,0.,0.)); #7001=DIRECTION('',(0.,0.,-1.)); #7002=DIRECTION('',(1.,0.,0.)); #7003=DIRECTION('',(1.,0.,0.)); #7004=DIRECTION('',(0.,0.,-1.)); #7005=DIRECTION('',(1.,0.,0.)); #7006=DIRECTION('',(1.,0.,0.)); #7007=DIRECTION('',(0.,0.,-1.)); #7008=DIRECTION('',(1.,0.,0.)); #7009=DIRECTION('',(1.,0.,0.)); #7010=DIRECTION('',(0.,0.,-1.)); #7011=DIRECTION('',(1.,0.,0.)); #7012=DIRECTION('',(1.,0.,0.)); #7013=DIRECTION('',(0.,0.,-1.)); #7014=DIRECTION('',(1.,0.,0.)); #7015=DIRECTION('',(1.,0.,0.)); #7016=DIRECTION('',(0.,0.,-1.)); #7017=DIRECTION('',(1.,0.,0.)); #7018=DIRECTION('',(1.,0.,0.)); #7019=DIRECTION('',(0.,0.,-1.)); #7020=DIRECTION('',(1.,0.,0.)); #7021=DIRECTION('',(1.,0.,0.)); #7022=DIRECTION('',(0.,0.,-1.)); #7023=DIRECTION('',(1.,0.,0.)); #7024=DIRECTION('',(-1.,0.,0.)); #7025=DIRECTION('',(0.,0.,1.)); #7026=DIRECTION('',(1.,0.,0.)); #7027=DIRECTION('',(0.,0.,-1.)); #7028=DIRECTION('',(-1.,0.,0.)); #7029=DIRECTION('',(0.,0.,1.)); #7030=DIRECTION('',(-1.,0.,0.)); #7031=DIRECTION('',(0.,0.,1.)); #7032=DIRECTION('',(-1.,0.,0.)); #7033=DIRECTION('',(0.,0.,1.)); #7034=DIRECTION('',(-1.,0.,0.)); #7035=DIRECTION('',(0.,0.,1.)); #7036=DIRECTION('',(-1.,0.,0.)); #7037=DIRECTION('',(0.,0.,1.)); #7038=DIRECTION('',(-1.,0.,0.)); #7039=DIRECTION('',(0.,0.,1.)); #7040=DIRECTION('',(-1.,0.,0.)); #7041=DIRECTION('',(0.,0.,1.)); #7042=DIRECTION('',(-1.,0.,0.)); #7043=DIRECTION('',(0.,0.,1.)); #7044=DIRECTION('',(-1.,0.,0.)); #7045=DIRECTION('',(0.,0.,1.)); #7046=DIRECTION('',(-1.,0.,0.)); #7047=DIRECTION('',(0.,0.,1.)); #7048=DIRECTION('',(-1.,0.,0.)); #7049=DIRECTION('',(0.,0.,1.)); #7050=DIRECTION('',(1.,0.,0.)); #7051=DIRECTION('',(0.,0.,-1.)); #7052=DIRECTION('',(1.,0.,0.)); #7053=DIRECTION('',(0.,0.,-1.)); #7054=DIRECTION('',(1.,0.,0.)); #7055=DIRECTION('',(0.,0.,-1.)); #7056=DIRECTION('',(1.,0.,0.)); #7057=DIRECTION('',(0.,0.,-1.)); #7058=DIRECTION('',(0.,-0.156434465040241,-0.987688340595136)); #7059=DIRECTION('',(1.,0.,0.)); #7060=DIRECTION('',(0.,0.,-1.)); #7061=DIRECTION('',(1.,0.,0.)); #7062=DIRECTION('',(0.,0.,-1.)); #7063=DIRECTION('',(1.,0.,0.)); #7064=DIRECTION('',(0.,0.,-1.)); #7065=DIRECTION('',(0.,-0.156434465040206,0.987688340595142)); #7066=DIRECTION('',(1.,0.,0.)); #7067=DIRECTION('',(0.,0.,-1.)); #7068=DIRECTION('',(1.,0.,0.)); #7069=DIRECTION('',(0.,0.,-1.)); #7070=DIRECTION('',(1.,0.,0.)); #7071=DIRECTION('',(0.,0.,-1.)); #7072=DIRECTION('',(0.,0.453990499739533,-0.891006524188375)); #7073=DIRECTION('',(1.,0.,0.)); #7074=DIRECTION('',(0.,0.,-1.)); #7075=DIRECTION('',(1.,0.,0.)); #7076=DIRECTION('',(0.,0.,-1.)); #7077=DIRECTION('',(1.,0.,0.)); #7078=DIRECTION('',(0.,0.,-1.)); #7079=DIRECTION('',(0.,-0.707106781186539,0.707106781186556)); #7080=DIRECTION('',(1.,0.,0.)); #7081=DIRECTION('',(0.,0.,-1.)); #7082=DIRECTION('',(1.,0.,0.)); #7083=DIRECTION('',(0.,0.,-1.)); #7084=DIRECTION('',(1.,0.,0.)); #7085=DIRECTION('',(0.,0.,-1.)); #7086=DIRECTION('',(0.,0.891006524188357,-0.453990499739568)); #7087=DIRECTION('',(1.,0.,0.)); #7088=DIRECTION('',(0.,0.,-1.)); #7089=DIRECTION('',(1.,0.,0.)); #7090=DIRECTION('',(0.,0.,-1.)); #7091=DIRECTION('',(1.,0.,0.)); #7092=DIRECTION('',(0.,0.,-1.)); #7093=DIRECTION('',(0.,-0.987688340595136,0.156434465040244)); #7094=DIRECTION('',(1.,0.,0.)); #7095=DIRECTION('',(0.,0.,-1.)); #7096=DIRECTION('',(1.,0.,0.)); #7097=DIRECTION('',(0.,0.,-1.)); #7098=DIRECTION('',(1.,0.,0.)); #7099=DIRECTION('',(0.,0.,-1.)); #7100=DIRECTION('',(0.,0.987688340595142,0.156434465040202)); #7101=DIRECTION('',(1.,0.,0.)); #7102=DIRECTION('',(0.,0.,-1.)); #7103=DIRECTION('',(1.,0.,0.)); #7104=DIRECTION('',(0.,0.,-1.)); #7105=DIRECTION('',(1.,0.,0.)); #7106=DIRECTION('',(0.,0.,-1.)); #7107=DIRECTION('',(0.,-0.891006524188374,-0.453990499739534)); #7108=DIRECTION('',(1.,0.,0.)); #7109=DIRECTION('',(0.,0.,-1.)); #7110=DIRECTION('',(1.,0.,0.)); #7111=DIRECTION('',(0.,0.,-1.)); #7112=DIRECTION('',(1.,0.,0.)); #7113=DIRECTION('',(0.,0.,-1.)); #7114=DIRECTION('',(0.,0.707106781186556,0.707106781186539)); #7115=DIRECTION('',(1.,0.,0.)); #7116=DIRECTION('',(0.,0.,-1.)); #7117=DIRECTION('',(1.,0.,0.)); #7118=DIRECTION('',(0.,0.,-1.)); #7119=DIRECTION('',(1.,0.,0.)); #7120=DIRECTION('',(0.,0.,-1.)); #7121=DIRECTION('',(0.,-0.453990499739562,-0.89100652418836)); #7122=DIRECTION('',(1.,0.,0.)); #7123=DIRECTION('',(0.,0.,-1.)); #7124=DIRECTION('',(1.,0.,0.)); #7125=DIRECTION('',(0.,0.,-1.)); #7126=DIRECTION('',(1.,0.,0.)); #7127=DIRECTION('',(0.,0.,-1.)); #7128=DIRECTION('',(0.,0.156434465040251,0.987688340595135)); #7129=DIRECTION('',(1.,0.,0.)); #7130=DIRECTION('',(0.,0.,-1.)); #7131=DIRECTION('',(1.,0.,0.)); #7132=DIRECTION('',(0.,0.,-1.)); #7133=DIRECTION('',(1.,0.,0.)); #7134=DIRECTION('',(0.,0.,-1.)); #7135=DIRECTION('',(0.,0.156434465040206,-0.987688340595142)); #7136=DIRECTION('',(1.,0.,0.)); #7137=DIRECTION('',(0.,0.,-1.)); #7138=DIRECTION('',(1.,0.,0.)); #7139=DIRECTION('',(0.,0.,-1.)); #7140=DIRECTION('',(1.,0.,0.)); #7141=DIRECTION('',(0.,0.,-1.)); #7142=DIRECTION('',(0.,-0.453990499739533,0.891006524188375)); #7143=DIRECTION('',(1.,0.,0.)); #7144=DIRECTION('',(0.,0.,-1.)); #7145=DIRECTION('',(1.,0.,0.)); #7146=DIRECTION('',(0.,0.,-1.)); #7147=DIRECTION('',(1.,0.,0.)); #7148=DIRECTION('',(0.,0.,-1.)); #7149=DIRECTION('',(0.,0.707106781186539,-0.707106781186556)); #7150=DIRECTION('',(1.,0.,0.)); #7151=DIRECTION('',(0.,0.,-1.)); #7152=DIRECTION('',(1.,0.,0.)); #7153=DIRECTION('',(0.,0.,-1.)); #7154=DIRECTION('',(1.,0.,0.)); #7155=DIRECTION('',(0.,0.,-1.)); #7156=DIRECTION('',(0.,-0.891006524188367,0.453990499739547)); #7157=DIRECTION('',(1.,0.,0.)); #7158=DIRECTION('',(0.,0.,-1.)); #7159=DIRECTION('',(1.,0.,0.)); #7160=DIRECTION('',(0.,0.,-1.)); #7161=DIRECTION('',(1.,0.,0.)); #7162=DIRECTION('',(0.,0.,-1.)); #7163=DIRECTION('',(0.,0.987688340595138,-0.156434465040229)); #7164=DIRECTION('',(1.,0.,0.)); #7165=DIRECTION('',(0.,0.,-1.)); #7166=DIRECTION('',(1.,0.,0.)); #7167=DIRECTION('',(0.,0.,-1.)); #7168=DIRECTION('',(1.,0.,0.)); #7169=DIRECTION('',(0.,0.,-1.)); #7170=DIRECTION('',(0.,-0.987688340595139,-0.156434465040225)); #7171=DIRECTION('',(1.,0.,0.)); #7172=DIRECTION('',(0.,0.,-1.)); #7173=DIRECTION('',(1.,0.,0.)); #7174=DIRECTION('',(0.,0.,-1.)); #7175=DIRECTION('',(1.,0.,0.)); #7176=DIRECTION('',(0.,0.,-1.)); #7177=DIRECTION('',(0.,0.891006524188371,0.453990499739541)); #7178=DIRECTION('',(1.,0.,0.)); #7179=DIRECTION('',(0.,0.,-1.)); #7180=DIRECTION('',(1.,0.,0.)); #7181=DIRECTION('',(0.,0.,-1.)); #7182=DIRECTION('',(1.,0.,0.)); #7183=DIRECTION('',(0.,0.,-1.)); #7184=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #7185=DIRECTION('',(1.,0.,0.)); #7186=DIRECTION('',(0.,0.,-1.)); #7187=DIRECTION('',(1.,0.,0.)); #7188=DIRECTION('',(0.,0.,-1.)); #7189=DIRECTION('',(1.,0.,0.)); #7190=DIRECTION('',(0.,0.,-1.)); #7191=DIRECTION('',(0.,0.453990499739562,0.89100652418836)); #7192=DIRECTION('',(1.,0.,0.)); #7193=DIRECTION('',(0.,0.,-1.)); #7194=DIRECTION('',(1.,0.,0.)); #7195=DIRECTION('',(0.,0.,-1.)); #7196=DIRECTION('',(0.,0.961261695938319,0.275637355816998)); #7197=DIRECTION('',(1.,0.,0.)); #7198=DIRECTION('',(0.,0.,-1.)); #7199=DIRECTION('',(0.,-0.6819983600625,-0.731353701619169)); #7200=DIRECTION('',(1.,0.,0.)); #7201=DIRECTION('',(0.,0.,-1.)); #7202=DIRECTION('',(0.,-0.719339800338651,0.694658370458997)); #7203=DIRECTION('',(1.,0.,0.)); #7204=DIRECTION('',(0.,0.,-1.)); #7205=DIRECTION('',(0.,0.974370064785235,-0.224951054343865)); #7206=DIRECTION('',(1.,0.,0.)); #7207=DIRECTION('',(0.,0.,-1.)); #7208=DIRECTION('',(0.,-0.241921895599667,-0.970295726275996)); #7209=DIRECTION('',(1.,0.,0.)); #7210=DIRECTION('',(0.,0.,-1.)); #7211=DIRECTION('',(0.,-0.292371704722737,0.956304755963035)); #7212=DIRECTION('',(1.,0.,0.)); #7213=DIRECTION('',(0.,0.,-1.)); #7214=DIRECTION('',(1.,0.,0.)); #7215=DIRECTION('',(0.,0.,-1.)); #7216=DIRECTION('',(1.,0.,0.)); #7217=DIRECTION('',(0.,0.,-1.)); #7218=DIRECTION('',(1.,0.,0.)); #7219=DIRECTION('',(0.,0.,-1.)); #7220=DIRECTION('',(1.,0.,0.)); #7221=DIRECTION('',(1.,0.,0.)); #7222=DIRECTION('',(0.,0.,-1.)); #7223=DIRECTION('',(1.,0.,0.)); #7224=DIRECTION('',(1.,0.,0.)); #7225=DIRECTION('',(1.,0.,0.)); #7226=DIRECTION('',(0.,0.,-1.)); #7227=DIRECTION('',(1.,0.,0.)); #7228=DIRECTION('',(1.,0.,0.)); #7229=DIRECTION('',(1.,0.,0.)); #7230=DIRECTION('',(0.,0.,-1.)); #7231=DIRECTION('',(1.,0.,0.)); #7232=DIRECTION('',(1.,0.,0.)); #7233=DIRECTION('',(1.,0.,0.)); #7234=DIRECTION('',(0.,0.,-1.)); #7235=DIRECTION('',(1.,0.,0.)); #7236=DIRECTION('',(1.,0.,0.)); #7237=DIRECTION('',(1.,0.,0.)); #7238=DIRECTION('',(0.,0.,-1.)); #7239=DIRECTION('',(1.,0.,0.)); #7240=DIRECTION('',(1.,0.,0.)); #7241=DIRECTION('',(1.,0.,0.)); #7242=DIRECTION('',(0.,0.,-1.)); #7243=DIRECTION('',(1.,0.,0.)); #7244=DIRECTION('',(1.,0.,0.)); #7245=DIRECTION('',(1.,0.,0.)); #7246=DIRECTION('',(0.,0.,-1.)); #7247=DIRECTION('',(1.,0.,0.)); #7248=DIRECTION('',(1.,0.,0.)); #7249=DIRECTION('',(1.,0.,0.)); #7250=DIRECTION('',(0.,0.,-1.)); #7251=DIRECTION('',(1.,0.,0.)); #7252=DIRECTION('',(1.,0.,0.)); #7253=DIRECTION('',(1.,0.,0.)); #7254=DIRECTION('',(0.,0.,-1.)); #7255=DIRECTION('',(1.,0.,0.)); #7256=DIRECTION('',(1.,0.,0.)); #7257=DIRECTION('',(1.,0.,0.)); #7258=DIRECTION('',(0.,0.,-1.)); #7259=DIRECTION('',(1.,0.,0.)); #7260=DIRECTION('',(1.,0.,0.)); #7261=DIRECTION('',(0.,0.,-1.)); #7262=DIRECTION('',(1.,0.,0.)); #7263=DIRECTION('',(0.,0.,-1.)); #7264=DIRECTION('',(-1.,0.,0.)); #7265=DIRECTION('',(0.,0.,1.)); #7266=DIRECTION('',(1.,0.,0.)); #7267=DIRECTION('',(0.,0.,-1.)); #7268=DIRECTION('',(1.,0.,0.)); #7269=DIRECTION('',(0.,0.,-1.)); #7270=DIRECTION('',(1.,0.,0.)); #7271=DIRECTION('',(1.,0.,0.)); #7272=DIRECTION('',(1.,0.,0.)); #7273=DIRECTION('',(1.,0.,0.)); #7274=DIRECTION('',(1.,0.,0.)); #7275=DIRECTION('',(1.,0.,0.)); #7276=DIRECTION('',(1.,0.,0.)); #7277=DIRECTION('',(1.,0.,0.)); #7278=DIRECTION('',(1.,0.,0.)); #7279=DIRECTION('',(1.,0.,0.)); #7280=DIRECTION('',(1.,0.,0.)); #7281=DIRECTION('',(1.,0.,0.)); #7282=DIRECTION('',(1.,0.,0.)); #7283=DIRECTION('',(1.,0.,0.)); #7284=DIRECTION('',(1.,0.,0.)); #7285=DIRECTION('',(1.,0.,0.)); #7286=DIRECTION('',(1.,0.,0.)); #7287=DIRECTION('',(1.,0.,0.)); #7288=DIRECTION('',(1.,0.,0.)); #7289=DIRECTION('',(1.,0.,0.)); #7290=DIRECTION('',(-1.,0.,0.)); #7291=DIRECTION('',(0.,0.,1.)); #7292=DIRECTION('',(1.,0.,0.)); #7293=DIRECTION('',(0.,0.,-1.)); #7294=DIRECTION('',(1.,0.,0.)); #7295=DIRECTION('',(0.,0.,-1.)); #7296=DIRECTION('',(1.,0.,0.)); #7297=DIRECTION('',(0.,0.,-1.)); #7298=DIRECTION('',(1.,0.,0.)); #7299=DIRECTION('',(0.,-0.987688340595136,0.156434465040241)); #7300=DIRECTION('',(0.,-0.156434465040241,-0.987688340595136)); #7301=DIRECTION('',(0.,-0.156434465040241,-0.987688340595136)); #7302=DIRECTION('',(1.,0.,0.)); #7303=DIRECTION('',(1.,0.,0.)); #7304=DIRECTION('',(0.,0.,-1.)); #7305=DIRECTION('',(1.,0.,0.)); #7306=DIRECTION('',(0.,0.,-1.)); #7307=DIRECTION('',(1.,0.,0.)); #7308=DIRECTION('',(1.,0.,0.)); #7309=DIRECTION('',(0.,0.,-1.)); #7310=DIRECTION('',(1.,0.,0.)); #7311=DIRECTION('',(0.,0.,-1.)); #7312=DIRECTION('',(1.,0.,0.)); #7313=DIRECTION('',(1.,0.,0.)); #7314=DIRECTION('',(0.,0.,-1.)); #7315=DIRECTION('',(1.,0.,0.)); #7316=DIRECTION('',(0.,0.,-1.)); #7317=DIRECTION('',(1.,0.,0.)); #7318=DIRECTION('',(1.,0.,0.)); #7319=DIRECTION('',(0.,0.,-1.)); #7320=DIRECTION('',(1.,0.,0.)); #7321=DIRECTION('',(1.,0.,0.)); #7322=DIRECTION('',(0.,0.,-1.)); #7323=DIRECTION('',(0.,0.987688340595142,0.156434465040206)); #7324=DIRECTION('',(0.,-0.156434465040206,0.987688340595142)); #7325=DIRECTION('',(0.,-0.156434465040206,0.987688340595142)); #7326=DIRECTION('',(1.,0.,0.)); #7327=DIRECTION('',(0.,0.,-1.)); #7328=DIRECTION('',(1.,0.,0.)); #7329=DIRECTION('',(0.,0.,-1.)); #7330=DIRECTION('',(1.,0.,0.)); #7331=DIRECTION('',(0.,0.,-1.)); #7332=DIRECTION('',(1.,0.,0.)); #7333=DIRECTION('',(0.,-0.891006524188375,-0.453990499739533)); #7334=DIRECTION('',(0.,0.453990499739533,-0.891006524188375)); #7335=DIRECTION('',(0.,0.453990499739533,-0.891006524188375)); #7336=DIRECTION('',(1.,0.,0.)); #7337=DIRECTION('',(1.,0.,0.)); #7338=DIRECTION('',(0.,0.,-1.)); #7339=DIRECTION('',(1.,0.,0.)); #7340=DIRECTION('',(0.,0.,-1.)); #7341=DIRECTION('',(1.,0.,0.)); #7342=DIRECTION('',(1.,0.,0.)); #7343=DIRECTION('',(0.,0.,-1.)); #7344=DIRECTION('',(1.,0.,0.)); #7345=DIRECTION('',(0.,0.,-1.)); #7346=DIRECTION('',(1.,0.,0.)); #7347=DIRECTION('',(1.,0.,0.)); #7348=DIRECTION('',(0.,0.,-1.)); #7349=DIRECTION('',(1.,0.,0.)); #7350=DIRECTION('',(0.,0.,-1.)); #7351=DIRECTION('',(1.,0.,0.)); #7352=DIRECTION('',(0.,0.707106781186556,0.707106781186539)); #7353=DIRECTION('',(0.,-0.707106781186539,0.707106781186556)); #7354=DIRECTION('',(0.,-0.707106781186539,0.707106781186556)); #7355=DIRECTION('',(1.,0.,0.)); #7356=DIRECTION('',(1.,0.,0.)); #7357=DIRECTION('',(0.,0.,-1.)); #7358=DIRECTION('',(1.,0.,0.)); #7359=DIRECTION('',(0.,0.,-1.)); #7360=DIRECTION('',(1.,0.,0.)); #7361=DIRECTION('',(0.,0.,-1.)); #7362=DIRECTION('',(1.,0.,0.)); #7363=DIRECTION('',(0.,0.,-1.)); #7364=DIRECTION('',(1.,0.,0.)); #7365=DIRECTION('',(0.,0.,-1.)); #7366=DIRECTION('',(1.,0.,0.)); #7367=DIRECTION('',(0.,-0.453990499739568,-0.891006524188357)); #7368=DIRECTION('',(0.,0.891006524188357,-0.453990499739568)); #7369=DIRECTION('',(0.,0.891006524188357,-0.453990499739568)); #7370=DIRECTION('',(1.,0.,0.)); #7371=DIRECTION('',(1.,0.,0.)); #7372=DIRECTION('',(0.,0.,-1.)); #7373=DIRECTION('',(1.,0.,0.)); #7374=DIRECTION('',(0.,0.,-1.)); #7375=DIRECTION('',(1.,0.,0.)); #7376=DIRECTION('',(1.,0.,0.)); #7377=DIRECTION('',(0.,0.,-1.)); #7378=DIRECTION('',(1.,0.,0.)); #7379=DIRECTION('',(0.,0.,-1.)); #7380=DIRECTION('',(1.,0.,0.)); #7381=DIRECTION('',(1.,0.,0.)); #7382=DIRECTION('',(0.,0.,-1.)); #7383=DIRECTION('',(1.,0.,0.)); #7384=DIRECTION('',(0.,0.,-1.)); #7385=DIRECTION('',(1.,0.,0.)); #7386=DIRECTION('',(0.,0.156434465040244,0.987688340595136)); #7387=DIRECTION('',(0.,-0.987688340595136,0.156434465040244)); #7388=DIRECTION('',(0.,-0.987688340595136,0.156434465040244)); #7389=DIRECTION('',(1.,0.,0.)); #7390=DIRECTION('',(1.,0.,0.)); #7391=DIRECTION('',(0.,0.,-1.)); #7392=DIRECTION('',(1.,0.,0.)); #7393=DIRECTION('',(0.,0.,-1.)); #7394=DIRECTION('',(1.,0.,0.)); #7395=DIRECTION('',(0.,0.,-1.)); #7396=DIRECTION('',(1.,0.,0.)); #7397=DIRECTION('',(0.,0.,-1.)); #7398=DIRECTION('',(1.,0.,0.)); #7399=DIRECTION('',(0.,0.,-1.)); #7400=DIRECTION('',(1.,0.,0.)); #7401=DIRECTION('',(0.,0.156434465040202,-0.987688340595142)); #7402=DIRECTION('',(0.,0.987688340595142,0.156434465040202)); #7403=DIRECTION('',(0.,0.987688340595142,0.156434465040202)); #7404=DIRECTION('',(1.,0.,0.)); #7405=DIRECTION('',(1.,0.,0.)); #7406=DIRECTION('',(0.,0.,-1.)); #7407=DIRECTION('',(1.,0.,0.)); #7408=DIRECTION('',(0.,0.,-1.)); #7409=DIRECTION('',(1.,0.,0.)); #7410=DIRECTION('',(1.,0.,0.)); #7411=DIRECTION('',(0.,0.,-1.)); #7412=DIRECTION('',(1.,0.,0.)); #7413=DIRECTION('',(0.,0.,-1.)); #7414=DIRECTION('',(1.,0.,0.)); #7415=DIRECTION('',(1.,0.,0.)); #7416=DIRECTION('',(0.,0.,-1.)); #7417=DIRECTION('',(1.,0.,0.)); #7418=DIRECTION('',(0.,0.,-1.)); #7419=DIRECTION('',(1.,0.,0.)); #7420=DIRECTION('',(0.,-0.453990499739534,0.891006524188374)); #7421=DIRECTION('',(0.,-0.891006524188374,-0.453990499739534)); #7422=DIRECTION('',(0.,-0.891006524188374,-0.453990499739534)); #7423=DIRECTION('',(1.,0.,0.)); #7424=DIRECTION('',(1.,0.,0.)); #7425=DIRECTION('',(0.,0.,-1.)); #7426=DIRECTION('',(1.,0.,0.)); #7427=DIRECTION('',(0.,0.,-1.)); #7428=DIRECTION('',(1.,0.,0.)); #7429=DIRECTION('',(0.,0.,-1.)); #7430=DIRECTION('',(1.,0.,0.)); #7431=DIRECTION('',(0.,0.,-1.)); #7432=DIRECTION('',(1.,0.,0.)); #7433=DIRECTION('',(0.,0.,-1.)); #7434=DIRECTION('',(1.,0.,0.)); #7435=DIRECTION('',(0.,0.707106781186539,-0.707106781186556)); #7436=DIRECTION('',(0.,0.707106781186556,0.707106781186539)); #7437=DIRECTION('',(0.,0.707106781186556,0.707106781186539)); #7438=DIRECTION('',(1.,0.,0.)); #7439=DIRECTION('',(1.,0.,0.)); #7440=DIRECTION('',(0.,0.,-1.)); #7441=DIRECTION('',(1.,0.,0.)); #7442=DIRECTION('',(0.,0.,-1.)); #7443=DIRECTION('',(1.,0.,0.)); #7444=DIRECTION('',(1.,0.,0.)); #7445=DIRECTION('',(0.,0.,-1.)); #7446=DIRECTION('',(1.,0.,0.)); #7447=DIRECTION('',(0.,0.,-1.)); #7448=DIRECTION('',(1.,0.,0.)); #7449=DIRECTION('',(1.,0.,0.)); #7450=DIRECTION('',(0.,0.,-1.)); #7451=DIRECTION('',(1.,0.,0.)); #7452=DIRECTION('',(0.,0.,-1.)); #7453=DIRECTION('',(1.,0.,0.)); #7454=DIRECTION('',(0.,-0.89100652418836,0.453990499739562)); #7455=DIRECTION('',(0.,-0.453990499739562,-0.89100652418836)); #7456=DIRECTION('',(0.,-0.453990499739562,-0.89100652418836)); #7457=DIRECTION('',(1.,0.,0.)); #7458=DIRECTION('',(1.,0.,0.)); #7459=DIRECTION('',(0.,0.,-1.)); #7460=DIRECTION('',(1.,0.,0.)); #7461=DIRECTION('',(0.,0.,-1.)); #7462=DIRECTION('',(1.,0.,0.)); #7463=DIRECTION('',(0.,0.,-1.)); #7464=DIRECTION('',(1.,0.,0.)); #7465=DIRECTION('',(0.,0.,-1.)); #7466=DIRECTION('',(1.,0.,0.)); #7467=DIRECTION('',(0.,0.,-1.)); #7468=DIRECTION('',(1.,0.,0.)); #7469=DIRECTION('',(0.,0.987688340595135,-0.156434465040251)); #7470=DIRECTION('',(0.,0.156434465040251,0.987688340595135)); #7471=DIRECTION('',(0.,0.156434465040251,0.987688340595135)); #7472=DIRECTION('',(1.,0.,0.)); #7473=DIRECTION('',(1.,0.,0.)); #7474=DIRECTION('',(0.,0.,-1.)); #7475=DIRECTION('',(1.,0.,0.)); #7476=DIRECTION('',(0.,0.,-1.)); #7477=DIRECTION('',(1.,0.,0.)); #7478=DIRECTION('',(1.,0.,0.)); #7479=DIRECTION('',(0.,0.,-1.)); #7480=DIRECTION('',(1.,0.,0.)); #7481=DIRECTION('',(0.,0.,-1.)); #7482=DIRECTION('',(1.,0.,0.)); #7483=DIRECTION('',(1.,0.,0.)); #7484=DIRECTION('',(0.,0.,-1.)); #7485=DIRECTION('',(1.,0.,0.)); #7486=DIRECTION('',(0.,0.,-1.)); #7487=DIRECTION('',(1.,0.,0.)); #7488=DIRECTION('',(0.,-0.987688340595142,-0.156434465040206)); #7489=DIRECTION('',(0.,0.156434465040206,-0.987688340595142)); #7490=DIRECTION('',(0.,0.156434465040206,-0.987688340595142)); #7491=DIRECTION('',(1.,0.,0.)); #7492=DIRECTION('',(1.,0.,0.)); #7493=DIRECTION('',(0.,0.,-1.)); #7494=DIRECTION('',(1.,0.,0.)); #7495=DIRECTION('',(0.,0.,-1.)); #7496=DIRECTION('',(1.,0.,0.)); #7497=DIRECTION('',(0.,0.,-1.)); #7498=DIRECTION('',(1.,0.,0.)); #7499=DIRECTION('',(0.,0.,-1.)); #7500=DIRECTION('',(1.,0.,0.)); #7501=DIRECTION('',(0.,0.,-1.)); #7502=DIRECTION('',(1.,0.,0.)); #7503=DIRECTION('',(0.,0.891006524188375,0.453990499739533)); #7504=DIRECTION('',(0.,-0.453990499739533,0.891006524188375)); #7505=DIRECTION('',(0.,-0.453990499739533,0.891006524188375)); #7506=DIRECTION('',(1.,0.,0.)); #7507=DIRECTION('',(1.,0.,0.)); #7508=DIRECTION('',(0.,0.,-1.)); #7509=DIRECTION('',(1.,0.,0.)); #7510=DIRECTION('',(0.,0.,-1.)); #7511=DIRECTION('',(1.,0.,0.)); #7512=DIRECTION('',(1.,0.,0.)); #7513=DIRECTION('',(0.,0.,-1.)); #7514=DIRECTION('',(1.,0.,0.)); #7515=DIRECTION('',(0.,0.,-1.)); #7516=DIRECTION('',(1.,0.,0.)); #7517=DIRECTION('',(1.,0.,0.)); #7518=DIRECTION('',(0.,0.,-1.)); #7519=DIRECTION('',(1.,0.,0.)); #7520=DIRECTION('',(0.,0.,-1.)); #7521=DIRECTION('',(1.,0.,0.)); #7522=DIRECTION('',(0.,-0.707106781186556,-0.707106781186539)); #7523=DIRECTION('',(0.,0.707106781186539,-0.707106781186556)); #7524=DIRECTION('',(0.,0.707106781186539,-0.707106781186556)); #7525=DIRECTION('',(1.,0.,0.)); #7526=DIRECTION('',(1.,0.,0.)); #7527=DIRECTION('',(0.,0.,-1.)); #7528=DIRECTION('',(1.,0.,0.)); #7529=DIRECTION('',(0.,0.,-1.)); #7530=DIRECTION('',(1.,0.,0.)); #7531=DIRECTION('',(0.,0.,-1.)); #7532=DIRECTION('',(1.,0.,0.)); #7533=DIRECTION('',(0.,0.,-1.)); #7534=DIRECTION('',(1.,0.,0.)); #7535=DIRECTION('',(0.,0.,-1.)); #7536=DIRECTION('',(1.,0.,0.)); #7537=DIRECTION('',(0.,0.453990499739547,0.891006524188367)); #7538=DIRECTION('',(0.,-0.891006524188368,0.453990499739548)); #7539=DIRECTION('',(0.,-0.891006524188367,0.453990499739547)); #7540=DIRECTION('',(1.,0.,0.)); #7541=DIRECTION('',(1.,0.,0.)); #7542=DIRECTION('',(0.,0.,-1.)); #7543=DIRECTION('',(1.,0.,0.)); #7544=DIRECTION('',(0.,0.,-1.)); #7545=DIRECTION('',(1.,0.,0.)); #7546=DIRECTION('',(1.,0.,0.)); #7547=DIRECTION('',(0.,0.,-1.)); #7548=DIRECTION('',(1.,0.,0.)); #7549=DIRECTION('',(0.,0.,-1.)); #7550=DIRECTION('',(1.,0.,0.)); #7551=DIRECTION('',(1.,0.,0.)); #7552=DIRECTION('',(0.,0.,-1.)); #7553=DIRECTION('',(1.,0.,0.)); #7554=DIRECTION('',(0.,0.,-1.)); #7555=DIRECTION('',(1.,0.,0.)); #7556=DIRECTION('',(0.,-0.156434465040229,-0.987688340595138)); #7557=DIRECTION('',(0.,0.987688340595138,-0.156434465040229)); #7558=DIRECTION('',(0.,0.987688340595138,-0.156434465040229)); #7559=DIRECTION('',(1.,0.,0.)); #7560=DIRECTION('',(1.,0.,0.)); #7561=DIRECTION('',(0.,0.,-1.)); #7562=DIRECTION('',(1.,0.,0.)); #7563=DIRECTION('',(0.,0.,-1.)); #7564=DIRECTION('',(1.,0.,0.)); #7565=DIRECTION('',(0.,0.,-1.)); #7566=DIRECTION('',(1.,0.,0.)); #7567=DIRECTION('',(0.,0.,-1.)); #7568=DIRECTION('',(1.,0.,0.)); #7569=DIRECTION('',(0.,0.,-1.)); #7570=DIRECTION('',(1.,0.,0.)); #7571=DIRECTION('',(0.,-0.156434465040225,0.987688340595139)); #7572=DIRECTION('',(0.,-0.987688340595139,-0.156434465040225)); #7573=DIRECTION('',(0.,-0.987688340595139,-0.156434465040225)); #7574=DIRECTION('',(1.,0.,0.)); #7575=DIRECTION('',(1.,0.,0.)); #7576=DIRECTION('',(0.,0.,-1.)); #7577=DIRECTION('',(1.,0.,0.)); #7578=DIRECTION('',(0.,0.,-1.)); #7579=DIRECTION('',(1.,0.,0.)); #7580=DIRECTION('',(1.,0.,0.)); #7581=DIRECTION('',(0.,0.,-1.)); #7582=DIRECTION('',(1.,0.,0.)); #7583=DIRECTION('',(0.,0.,-1.)); #7584=DIRECTION('',(1.,0.,0.)); #7585=DIRECTION('',(1.,0.,0.)); #7586=DIRECTION('',(0.,0.,-1.)); #7587=DIRECTION('',(1.,0.,0.)); #7588=DIRECTION('',(0.,0.,-1.)); #7589=DIRECTION('',(1.,0.,0.)); #7590=DIRECTION('',(0.,0.453990499739541,-0.891006524188371)); #7591=DIRECTION('',(0.,0.891006524188371,0.453990499739541)); #7592=DIRECTION('',(0.,0.891006524188371,0.453990499739541)); #7593=DIRECTION('',(1.,0.,0.)); #7594=DIRECTION('',(1.,0.,0.)); #7595=DIRECTION('',(0.,0.,-1.)); #7596=DIRECTION('',(1.,0.,0.)); #7597=DIRECTION('',(0.,0.,-1.)); #7598=DIRECTION('',(1.,0.,0.)); #7599=DIRECTION('',(0.,0.,-1.)); #7600=DIRECTION('',(1.,0.,0.)); #7601=DIRECTION('',(0.,0.,-1.)); #7602=DIRECTION('',(1.,0.,0.)); #7603=DIRECTION('',(0.,0.,-1.)); #7604=DIRECTION('',(0.,0.453990499739562,0.89100652418836)); #7605=DIRECTION('',(1.,0.,0.)); #7606=DIRECTION('',(0.,0.,-1.)); #7607=DIRECTION('',(1.,0.,0.)); #7608=DIRECTION('',(0.,0.,-1.)); #7609=DIRECTION('',(1.,0.,0.)); #7610=DIRECTION('',(0.,0.,-1.)); #7611=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #7612=DIRECTION('',(1.,0.,0.)); #7613=DIRECTION('',(0.,0.,-1.)); #7614=DIRECTION('',(1.,0.,0.)); #7615=DIRECTION('',(0.,0.,-1.)); #7616=DIRECTION('',(1.,0.,0.)); #7617=DIRECTION('',(0.,0.89100652418836,-0.453990499739562)); #7618=DIRECTION('',(0.,0.453990499739562,0.89100652418836)); #7619=DIRECTION('',(1.,0.,0.)); #7620=DIRECTION('',(1.,0.,0.)); #7621=DIRECTION('',(0.,0.,-1.)); #7622=DIRECTION('',(1.,0.,0.)); #7623=DIRECTION('',(1.,0.,0.)); #7624=DIRECTION('',(0.,0.,-1.)); #7625=DIRECTION('',(1.,0.,0.)); #7626=DIRECTION('',(1.,0.,0.)); #7627=DIRECTION('',(0.,0.,-1.)); #7628=DIRECTION('',(1.,0.,0.)); #7629=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #7630=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #7631=DIRECTION('',(1.,0.,0.)); #7632=DIRECTION('',(1.,0.,0.)); #7633=DIRECTION('',(0.,0.,-1.)); #7634=DIRECTION('',(1.,0.,0.)); #7635=DIRECTION('',(0.,0.,-1.)); #7636=DIRECTION('',(1.,0.,0.)); #7637=DIRECTION('',(1.,0.,0.)); #7638=DIRECTION('',(0.,0.,-1.)); #7639=DIRECTION('',(1.,0.,0.)); #7640=DIRECTION('',(0.,0.,-1.)); #7641=DIRECTION('',(1.,0.,0.)); #7642=DIRECTION('',(1.,0.,0.)); #7643=DIRECTION('',(0.,0.,-1.)); #7644=DIRECTION('',(1.,0.,0.)); #7645=DIRECTION('',(0.,0.,-1.)); #7646=DIRECTION('',(1.,0.,0.)); #7647=DIRECTION('',(1.,0.,0.)); #7648=DIRECTION('',(0.,0.,-1.)); #7649=DIRECTION('',(1.,0.,0.)); #7650=DIRECTION('',(0.,0.,-1.)); #7651=DIRECTION('',(1.,0.,0.)); #7652=DIRECTION('',(1.,0.,0.)); #7653=DIRECTION('',(0.,0.,-1.)); #7654=DIRECTION('',(1.,0.,0.)); #7655=DIRECTION('',(0.,0.,-1.)); #7656=DIRECTION('',(1.,0.,0.)); #7657=DIRECTION('',(1.,0.,0.)); #7658=DIRECTION('',(0.,0.,-1.)); #7659=DIRECTION('',(1.,0.,0.)); #7660=DIRECTION('',(0.,0.,-1.)); #7661=DIRECTION('',(1.,0.,0.)); #7662=DIRECTION('',(1.,0.,0.)); #7663=DIRECTION('',(0.,0.,-1.)); #7664=DIRECTION('',(1.,0.,0.)); #7665=DIRECTION('',(0.,0.,-1.)); #7666=DIRECTION('',(1.,0.,0.)); #7667=DIRECTION('',(1.,0.,0.)); #7668=DIRECTION('',(0.,0.,-1.)); #7669=DIRECTION('',(1.,0.,0.)); #7670=DIRECTION('',(0.,0.,-1.)); #7671=DIRECTION('',(1.,0.,0.)); #7672=DIRECTION('',(1.,0.,0.)); #7673=DIRECTION('',(0.,0.,-1.)); #7674=DIRECTION('',(1.,0.,0.)); #7675=DIRECTION('',(0.,0.,-1.)); #7676=DIRECTION('',(1.,0.,0.)); #7677=DIRECTION('',(1.,0.,0.)); #7678=DIRECTION('',(0.,0.,-1.)); #7679=DIRECTION('',(1.,0.,0.)); #7680=DIRECTION('',(0.,0.,-1.)); #7681=DIRECTION('',(1.,0.,0.)); #7682=DIRECTION('',(1.,0.,0.)); #7683=DIRECTION('',(0.,0.,-1.)); #7684=DIRECTION('',(1.,0.,0.)); #7685=DIRECTION('',(0.,0.,-1.)); #7686=DIRECTION('',(1.,0.,0.)); #7687=DIRECTION('',(1.,0.,0.)); #7688=DIRECTION('',(0.,0.,-1.)); #7689=DIRECTION('',(1.,0.,0.)); #7690=DIRECTION('',(0.,0.,-1.)); #7691=DIRECTION('',(1.,0.,0.)); #7692=DIRECTION('',(1.,0.,0.)); #7693=DIRECTION('',(0.,0.,-1.)); #7694=DIRECTION('',(1.,0.,0.)); #7695=DIRECTION('',(0.,0.,-1.)); #7696=DIRECTION('',(1.,0.,0.)); #7697=DIRECTION('',(1.,0.,0.)); #7698=DIRECTION('',(0.,0.,-1.)); #7699=DIRECTION('',(1.,0.,0.)); #7700=DIRECTION('',(0.,0.,-1.)); #7701=DIRECTION('',(1.,0.,0.)); #7702=DIRECTION('',(1.,0.,0.)); #7703=DIRECTION('',(0.,0.,-1.)); #7704=DIRECTION('',(1.,0.,0.)); #7705=DIRECTION('',(0.,0.,-1.)); #7706=DIRECTION('',(1.,0.,0.)); #7707=DIRECTION('',(1.,0.,0.)); #7708=DIRECTION('',(0.,0.,-1.)); #7709=DIRECTION('',(1.,0.,0.)); #7710=DIRECTION('',(0.,0.,-1.)); #7711=DIRECTION('',(1.,0.,0.)); #7712=DIRECTION('',(1.,0.,0.)); #7713=DIRECTION('',(0.,0.,-1.)); #7714=DIRECTION('',(1.,0.,0.)); #7715=DIRECTION('',(0.,0.,-1.)); #7716=DIRECTION('',(1.,0.,0.)); #7717=DIRECTION('',(1.,0.,0.)); #7718=DIRECTION('',(0.,0.,-1.)); #7719=DIRECTION('',(1.,0.,0.)); #7720=DIRECTION('',(0.,0.,-1.)); #7721=DIRECTION('',(1.,0.,0.)); #7722=DIRECTION('',(1.,0.,0.)); #7723=DIRECTION('',(0.,0.,-1.)); #7724=DIRECTION('',(1.,0.,0.)); #7725=DIRECTION('',(0.,0.,-1.)); #7726=DIRECTION('',(1.,0.,0.)); #7727=DIRECTION('',(1.,0.,0.)); #7728=DIRECTION('',(0.,0.,-1.)); #7729=DIRECTION('',(1.,0.,0.)); #7730=DIRECTION('',(0.,0.,-1.)); #7731=DIRECTION('',(1.,0.,0.)); #7732=DIRECTION('',(1.,0.,0.)); #7733=DIRECTION('',(0.,0.,-1.)); #7734=DIRECTION('',(1.,0.,0.)); #7735=DIRECTION('',(0.,0.,-1.)); #7736=DIRECTION('',(1.,0.,0.)); #7737=DIRECTION('',(0.,0.,-1.)); #7738=DIRECTION('',(1.,0.,0.)); #7739=DIRECTION('',(0.,0.,-1.)); #7740=DIRECTION('',(0.,-0.384615387237142,-0.923076921984524)); #7741=DIRECTION('',(0.,0.923076921984524,-0.384615387237142)); #7742=DIRECTION('',(-1.,0.,0.)); #7743=DIRECTION('',(0.,-0.923076921984524,0.384615387237142)); #7744=DIRECTION('',(-1.,0.,0.)); #7745=DIRECTION('',(0.,1.,0.)); #7746=DIRECTION('',(0.,0.,1.)); #7747=DIRECTION('',(-1.,0.,0.)); #7748=DIRECTION('',(0.,0.,-1.)); #7749=DIRECTION('',(0.,0.384615387237162,0.923076921984516)); #7750=DIRECTION('',(0.,-0.923076921984516,0.384615387237162)); #7751=DIRECTION('',(-1.,0.,0.)); #7752=DIRECTION('',(0.,0.923076921984516,-0.384615387237162)); #7753=DIRECTION('',(0.,1.,0.)); #7754=DIRECTION('',(0.,0.,1.)); #7755=DIRECTION('',(-1.,0.,0.)); #7756=DIRECTION('',(0.,0.,-1.)); #7757=DIRECTION('',(0.,0.384615387237161,-0.923076921984516)); #7758=DIRECTION('',(0.,0.923076921984516,0.384615387237161)); #7759=DIRECTION('',(-1.,0.,0.)); #7760=DIRECTION('',(0.,-0.923076921984516,-0.384615387237161)); #7761=DIRECTION('',(0.,1.,0.)); #7762=DIRECTION('',(0.,0.,1.)); #7763=DIRECTION('',(-1.,0.,0.)); #7764=DIRECTION('',(0.,0.,-1.)); #7765=DIRECTION('',(0.,-0.384615387237142,0.923076921984524)); #7766=DIRECTION('',(0.,-0.923076921984524,-0.384615387237142)); #7767=DIRECTION('',(-1.,0.,0.)); #7768=DIRECTION('',(0.,0.923076921984524,0.384615387237142)); #7769=DIRECTION('',(0.,-0.384615387237145,-0.923076921984523)); #7770=DIRECTION('',(0.,0.923076921984523,-0.384615387237145)); #7771=DIRECTION('',(0.,-0.923076921984523,0.384615387237145)); #7772=DIRECTION('',(-1.,0.,0.)); #7773=DIRECTION('',(0.,-0.923076921984523,0.384615387237145)); #7774=DIRECTION('',(-1.,0.,0.)); #7775=DIRECTION('',(0.,-0.384615387237145,0.923076921984523)); #7776=DIRECTION('',(0.,-0.923076921984523,-0.384615387237145)); #7777=DIRECTION('',(0.,0.923076921984523,0.384615387237145)); #7778=DIRECTION('',(-1.,0.,0.)); #7779=DIRECTION('',(0.,0.923076921984523,0.384615387237145)); #7780=DIRECTION('',(0.,1.,0.)); #7781=DIRECTION('',(0.,0.,1.)); #7782=DIRECTION('',(0.,0.,-1.)); #7783=DIRECTION('',(0.,0.,-1.)); #7784=DIRECTION('',(0.,-1.,0.)); #7785=DIRECTION('',(0.,0.,-1.)); #7786=DIRECTION('',(0.,0.,1.)); #7787=DIRECTION('',(1.,0.,0.)); #7788=DIRECTION('',(0.,0.,-1.)); #7789=DIRECTION('',(1.,0.,0.)); #7790=DIRECTION('',(0.,0.,-1.)); #7791=DIRECTION('',(0.,-1.,0.)); #7792=DIRECTION('',(0.,0.,-1.)); #7793=DIRECTION('',(-1.,0.,0.)); #7794=DIRECTION('',(0.,0.,1.)); #7795=DIRECTION('',(-1.,0.,0.)); #7796=DIRECTION('',(0.,0.,-1.)); #7797=DIRECTION('',(-1.,0.,0.)); #7798=DIRECTION('',(-1.,0.,0.)); #7799=DIRECTION('',(0.,-1.,0.)); #7800=DIRECTION('',(0.,1.,0.)); #7801=DIRECTION('',(0.,0.,1.)); #7802=DIRECTION('',(-1.,0.,0.)); #7803=DIRECTION('',(0.,0.,-1.)); #7804=DIRECTION('',(-1.,0.,0.)); #7805=DIRECTION('',(0.,0.,1.)); #7806=DIRECTION('',(-1.,0.,0.)); #7807=DIRECTION('',(1.,0.,0.)); #7808=DIRECTION('',(0.,0.,-1.)); #7809=DIRECTION('',(0.,-1.,0.)); #7810=DIRECTION('',(0.,0.,-1.)); #7811=DIRECTION('',(0.,0.,1.)); #7812=DIRECTION('',(-1.,0.,0.)); #7813=DIRECTION('',(0.,0.,1.)); #7814=DIRECTION('',(-1.,0.,0.)); #7815=DIRECTION('',(-1.,0.,0.)); #7816=DIRECTION('',(0.,0.,1.)); #7817=DIRECTION('',(1.,0.,0.)); #7818=DIRECTION('',(0.,0.,-1.)); #7819=DIRECTION('',(-1.,0.,0.)); #7820=DIRECTION('',(1.,0.,0.)); #7821=DIRECTION('',(0.,0.,-1.)); #7822=DIRECTION('',(0.,1.,0.)); #7823=DIRECTION('',(0.,0.,1.)); #7824=DIRECTION('',(0.,0.,-1.)); #7825=DIRECTION('',(-1.,0.,0.)); #7826=DIRECTION('',(0.,0.,-1.)); #7827=DIRECTION('',(0.,0.,-1.)); #7828=DIRECTION('',(-1.,0.,0.)); #7829=DIRECTION('',(0.,-1.,0.)); #7830=DIRECTION('',(-1.,0.,0.)); #7831=DIRECTION('',(0.,-1.,0.)); #7832=DIRECTION('',(-1.,0.,0.)); #7833=DIRECTION('',(0.,-1.,0.)); #7834=DIRECTION('',(0.,0.,-1.)); #7835=DIRECTION('',(0.,0.,1.)); #7836=DIRECTION('',(-1.,0.,0.)); #7837=DIRECTION('',(0.,0.,1.)); #7838=DIRECTION('',(-1.,0.,0.)); #7839=DIRECTION('',(0.,0.,1.)); #7840=DIRECTION('',(1.,0.,0.)); #7841=DIRECTION('',(0.,0.,-1.)); #7842=DIRECTION('',(-1.,0.,0.)); #7843=DIRECTION('',(1.,0.,0.)); #7844=DIRECTION('',(0.,0.,-1.)); #7845=DIRECTION('',(0.,0.,-1.)); #7846=DIRECTION('',(-1.,0.,0.)); #7847=DIRECTION('',(0.,-1.,0.)); #7848=DIRECTION('',(0.,-1.,0.)); #7849=DIRECTION('',(0.,1.,0.)); #7850=DIRECTION('',(0.,0.,1.)); #7851=DIRECTION('',(0.,0.,-1.)); #7852=DIRECTION('',(0.,0.,-1.)); #7853=DIRECTION('',(-1.,0.,0.)); #7854=DIRECTION('',(0.,0.,1.)); #7855=DIRECTION('',(1.,0.,0.)); #7856=DIRECTION('',(0.,0.,-1.)); #7857=DIRECTION('',(1.,0.,0.)); #7858=DIRECTION('',(0.,0.,-1.)); #7859=DIRECTION('',(1.,0.,0.)); #7860=DIRECTION('',(0.,0.,-1.)); #7861=DIRECTION('',(1.,0.,0.)); #7862=DIRECTION('',(0.,0.,-1.)); #7863=DIRECTION('',(0.,0.484809620246338,0.874619707139395)); #7864=DIRECTION('',(0.717365450642262,-0.43370412466343,0.545240811453758)); #7865=DIRECTION('',(0.774374973611669,-0.393881790807501,0.495177276451781)); #7866=DIRECTION('',(0.774374973611669,0.488227673452715,0.402463835790095)); #7867=DIRECTION('',(1.,0.,0.)); #7868=DIRECTION('',(0.,0.,-1.)); #7869=DIRECTION('',(0.717365450642262,0.537588587980087,0.443153833672236)); #7870=DIRECTION('',(0.,-0.882947592858927,0.469471562785891)); #7871=DIRECTION('',(0.717365450642262,0.68904445623071,0.102978383957745)); #7872=DIRECTION('',(1.,0.,0.)); #7873=DIRECTION('',(0.,0.,-1.)); #7874=DIRECTION('',(0.774374973611669,0.625776996187782,0.0935229987015133)); #7875=DIRECTION('',(0.,0.515038074910055,-0.857167300702112)); #7876=DIRECTION('',(0.774374973611669,0.104430069172394,-0.624049485935672)); #7877=DIRECTION('',(1.,0.,0.)); #7878=DIRECTION('',(0.,0.,-1.)); #7879=DIRECTION('',(0.717365450642262,0.114988183754576,-0.68714229081148)); #7880=DIRECTION('',(0.,0.848048096156426,0.529919264233205)); #7881=DIRECTION('',(0.717365450642262,-0.25534033156728,-0.648219195411502)); #7882=DIRECTION('',(1.,0.,0.)); #7883=DIRECTION('',(0.,0.,-1.)); #7884=DIRECTION('',(0.774374973611669,-0.231895205380283,-0.588700275153294)); #7885=DIRECTION('',(0.,-0.999847695156391,-0.0174524064372829)); #7886=DIRECTION('',(0.774374973611669,-0.592657742625108,0.221585650145577)); #7887=DIRECTION('',(1.,0.,0.)); #7888=DIRECTION('',(0.,0.,-1.)); #7889=DIRECTION('',(0.717365450642263,-0.652576771734663,0.243988457139244)); #7890=DIRECTION('',(0.,0.0348994967025013,-0.999390827019096)); #7891=DIRECTION('',(1.,0.,0.)); #7892=DIRECTION('',(0.,0.,-1.)); #7893=DIRECTION('',(1.,0.,0.)); #7894=DIRECTION('',(0.,0.,-1.)); #7895=DIRECTION('',(1.,0.,0.)); #7896=DIRECTION('',(0.,0.,-1.)); #7897=DIRECTION('',(0.,-0.0871557427476581,0.996194698091746)); #7898=DIRECTION('',(1.,0.,0.)); #7899=DIRECTION('',(0.,0.,-1.)); #7900=DIRECTION('',(0.,0.0871557427476581,-0.996194698091746)); #7901=DIRECTION('',(1.,0.,0.)); #7902=DIRECTION('',(0.,0.,-1.)); #7903=DIRECTION('',(0.,-0.81915204428899,0.573576436351049)); #7904=DIRECTION('',(1.,0.,0.)); #7905=DIRECTION('',(0.,0.,-1.)); #7906=DIRECTION('',(0.,0.819152044288992,-0.573576436351046)); #7907=DIRECTION('',(1.,0.,0.)); #7908=DIRECTION('',(0.,0.,-1.)); #7909=DIRECTION('',(0.,-0.819152044288988,-0.573576436351051)); #7910=DIRECTION('',(1.,0.,0.)); #7911=DIRECTION('',(0.,0.,-1.)); #7912=DIRECTION('',(0.,0.819152044288993,0.573576436351045)); #7913=DIRECTION('',(1.,0.,0.)); #7914=DIRECTION('',(0.,0.,-1.)); #7915=DIRECTION('',(0.,-0.258819045102518,-0.965925826289069)); #7916=DIRECTION('',(1.,0.,0.)); #7917=DIRECTION('',(0.,0.,-1.)); #7918=DIRECTION('',(0.,0.258819045102519,0.965925826289069)); #7919=DIRECTION('',(1.,0.,0.)); #7920=DIRECTION('',(0.,0.,-1.)); #7921=DIRECTION('',(0.,1.,0.)); #7922=DIRECTION('',(1.,0.,0.)); #7923=DIRECTION('',(0.,0.,-1.)); #7924=DIRECTION('',(0.,-1.,0.)); #7925=DIRECTION('',(0.,0.996194698091746,0.0871557427476581)); #7926=DIRECTION('',(0.,-0.0871557427476581,0.996194698091746)); #7927=DIRECTION('',(1.,0.,0.)); #7928=DIRECTION('',(1.,0.,0.)); #7929=DIRECTION('',(1.,0.,0.)); #7930=DIRECTION('',(0.,0.,-1.)); #7931=DIRECTION('',(1.,0.,0.)); #7932=DIRECTION('',(0.,-0.996194698091746,-0.0871557427476581)); #7933=DIRECTION('',(0.,0.0871557427476581,-0.996194698091746)); #7934=DIRECTION('',(1.,0.,0.)); #7935=DIRECTION('',(1.,0.,0.)); #7936=DIRECTION('',(0.,0.,-1.)); #7937=DIRECTION('',(1.,0.,0.)); #7938=DIRECTION('',(1.,0.,0.)); #7939=DIRECTION('',(1.,0.,0.)); #7940=DIRECTION('',(1.,0.,0.)); #7941=DIRECTION('',(0.,0.,-1.)); #7942=DIRECTION('',(1.,0.,0.)); #7943=DIRECTION('',(1.,0.,0.)); #7944=DIRECTION('',(1.,0.,0.)); #7945=DIRECTION('',(1.,0.,0.)); #7946=DIRECTION('',(1.,0.,0.)); #7947=DIRECTION('',(1.,0.,0.)); #7948=DIRECTION('',(0.,0.,-1.)); #7949=DIRECTION('',(0.,0.573576436351049,0.81915204428899)); #7950=DIRECTION('',(0.,-0.81915204428899,0.573576436351049)); #7951=DIRECTION('',(1.,0.,0.)); #7952=DIRECTION('',(1.,0.,0.)); #7953=DIRECTION('',(0.,0.,-1.)); #7954=DIRECTION('',(1.,0.,0.)); #7955=DIRECTION('',(0.,-0.573576436351046,-0.819152044288992)); #7956=DIRECTION('',(0.,0.819152044288992,-0.573576436351046)); #7957=DIRECTION('',(0.,-0.573576436351051,0.819152044288988)); #7958=DIRECTION('',(0.,-0.819152044288988,-0.573576436351051)); #7959=DIRECTION('',(1.,0.,0.)); #7960=DIRECTION('',(1.,0.,0.)); #7961=DIRECTION('',(0.,0.,-1.)); #7962=DIRECTION('',(1.,0.,0.)); #7963=DIRECTION('',(0.,0.573576436351045,-0.819152044288992)); #7964=DIRECTION('',(0.,0.819152044288993,0.573576436351045)); #7965=DIRECTION('',(0.,-0.965925826289069,0.258819045102518)); #7966=DIRECTION('',(0.,-0.258819045102518,-0.965925826289069)); #7967=DIRECTION('',(0.,-0.258819045102518,-0.965925826289069)); #7968=DIRECTION('',(1.,0.,0.)); #7969=DIRECTION('',(1.,0.,0.)); #7970=DIRECTION('',(0.,0.,-1.)); #7971=DIRECTION('',(1.,0.,0.)); #7972=DIRECTION('',(0.,0.,-1.)); #7973=DIRECTION('',(1.,0.,0.)); #7974=DIRECTION('',(0.,0.965925826289069,-0.258819045102519)); #7975=DIRECTION('',(0.,0.258819045102519,0.965925826289069)); #7976=DIRECTION('',(0.,0.258819045102519,0.965925826289069)); #7977=DIRECTION('',(0.,0.,-1.)); #7978=DIRECTION('',(-1.,0.,0.)); #7979=DIRECTION('',(1.,0.,0.)); #7980=DIRECTION('',(1.,0.,0.)); #7981=DIRECTION('',(0.,0.,-1.)); #7982=DIRECTION('',(1.,0.,0.)); #7983=DIRECTION('',(0.,0.,1.)); #7984=DIRECTION('',(1.,0.,0.)); #7985=DIRECTION('',(1.,0.,0.)); #7986=DIRECTION('',(0.,0.,-1.)); #7987=DIRECTION('',(1.,0.,0.)); #7988=DIRECTION('',(0.,0.,-1.)); #7989=DIRECTION('',(1.,0.,0.)); #7990=DIRECTION('',(0.,0.,-1.)); #7991=DIRECTION('',(1.,0.,0.)); #7992=DIRECTION('',(0.,0.,-1.)); #7993=DIRECTION('',(1.,0.,0.)); #7994=DIRECTION('',(0.,0.,-1.)); #7995=DIRECTION('',(-1.,0.,0.)); #7996=DIRECTION('',(0.,0.,1.)); #7997=DIRECTION('',(1.,0.,0.)); #7998=DIRECTION('',(0.,0.,-1.)); #7999=DIRECTION('',(1.,0.,0.)); #8000=DIRECTION('',(0.,0.,-1.)); #8001=DIRECTION('',(1.,0.,0.)); #8002=DIRECTION('',(0.,0.,-1.)); #8003=DIRECTION('',(-1.,0.,0.)); #8004=DIRECTION('',(0.,0.,1.)); #8005=DIRECTION('',(-1.,0.,0.)); #8006=DIRECTION('',(0.,0.,1.)); #8007=DIRECTION('',(0.,0.,-1.)); #8008=DIRECTION('',(1.,0.,0.)); #8009=DIRECTION('',(0.,0.,-1.)); #8010=DIRECTION('',(0.,-0.812641146901149,-0.582764417550682)); #8011=DIRECTION('',(0.,0.,1.)); #8012=DIRECTION('',(0.,-1.,0.)); #8013=DIRECTION('',(0.,0.,-1.)); #8014=DIRECTION('',(0.,1.,0.)); #8015=DIRECTION('',(0.,0.812641146901149,0.582764417550682)); #8016=DIRECTION('',(1.,0.,0.)); #8017=DIRECTION('',(0.,0.,-1.)); #8018=DIRECTION('',(0.,-1.,0.)); #8019=DIRECTION('',(0.,0.,-1.)); #8020=DIRECTION('',(0.,1.,0.)); #8021=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #8022=DIRECTION('',(0.,1.,0.)); #8023=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #8024=DIRECTION('',(0.,0.,1.)); #8025=DIRECTION('',(1.,0.,0.)); #8026=DIRECTION('',(0.,0.,-1.)); #8027=DIRECTION('',(1.,0.,0.)); #8028=DIRECTION('',(0.,0.,-1.)); #8029=DIRECTION('',(0.,0.,-1.)); #8030=DIRECTION('',(0.,1.,0.)); #8031=DIRECTION('',(0.,0.,1.)); #8032=DIRECTION('',(0.,-0.923076921984524,0.384615387237141)); #8033=DIRECTION('',(0.,0.,-1.)); #8034=DIRECTION('',(0.,0.923076921984516,-0.384615387237162)); #8035=DIRECTION('',(0.,0.,-1.)); #8036=DIRECTION('',(0.,-0.923076921984516,-0.384615387237162)); #8037=DIRECTION('',(0.,0.,-1.)); #8038=DIRECTION('',(0.,0.923076921984524,0.384615387237141)); #8039=DIRECTION('',(0.,0.,1.)); #8040=DIRECTION('',(-1.,0.,0.)); #8041=DIRECTION('',(0.,0.,1.)); #8042=DIRECTION('',(-1.,0.,0.)); #8043=DIRECTION('',(0.,0.,1.)); #8044=DIRECTION('',(-1.,0.,0.)); #8045=DIRECTION('',(0.,0.,1.)); #8046=DIRECTION('',(0.,0.,-1.)); #8047=DIRECTION('',(-1.,0.,0.)); #8048=DIRECTION('',(-1.,0.,0.)); #8049=DIRECTION('',(0.,-1.,0.)); #8050=DIRECTION('',(-1.,0.,0.)); #8051=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #8052=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #8053=DIRECTION('',(-1.,0.,0.)); #8054=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #8055=DIRECTION('',(0.,0.,-1.)); #8056=DIRECTION('',(-1.,0.,0.)); #8057=DIRECTION('',(-1.,0.,0.)); #8058=DIRECTION('',(0.,-1.,0.)); #8059=DIRECTION('',(0.,1.,0.)); #8060=DIRECTION('',(0.,0.,1.)); #8061=DIRECTION('',(-1.,0.,0.)); #8062=DIRECTION('',(0.,0.,-1.)); #8063=DIRECTION('',(0.,0.,1.)); #8064=DIRECTION('',(1.,0.,0.)); #8065=DIRECTION('',(-1.,0.,0.)); #8066=DIRECTION('',(0.,1.,0.)); #8067=DIRECTION('',(0.,-1.,0.)); #8068=DIRECTION('',(0.,0.,-1.)); #8069=DIRECTION('',(-1.,0.,0.)); #8070=DIRECTION('',(0.,0.,1.)); #8071=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #8072=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #8073=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #8074=DIRECTION('',(1.,0.,0.)); #8075=DIRECTION('',(0.,0.,-1.)); #8076=DIRECTION('',(-1.,0.,0.)); #8077=DIRECTION('',(0.,0.,1.)); #8078=DIRECTION('',(-1.,0.,0.)); #8079=DIRECTION('',(1.,0.,0.)); #8080=DIRECTION('',(0.,0.,-1.)); #8081=DIRECTION('',(-1.,0.,0.)); #8082=DIRECTION('',(0.,1.,0.)); #8083=DIRECTION('',(0.,0.,1.)); #8084=DIRECTION('',(-1.,0.,0.)); #8085=DIRECTION('',(0.,0.,-1.)); #8086=DIRECTION('',(-1.,0.,0.)); #8087=DIRECTION('',(0.,0.,1.)); #8088=DIRECTION('',(-1.,0.,0.)); #8089=DIRECTION('',(1.,0.,0.)); #8090=DIRECTION('',(0.,0.,-1.)); #8091=DIRECTION('',(0.,-0.582764417550682,-0.812641146901149)); #8092=DIRECTION('',(0.,0.812641146901149,-0.582764417550682)); #8093=DIRECTION('',(-1.,0.,0.)); #8094=DIRECTION('',(0.,-0.812641146901149,0.582764417550682)); #8095=DIRECTION('',(0.,0.,-1.)); #8096=DIRECTION('',(-1.,0.,0.)); #8097=DIRECTION('',(-1.,0.,0.)); #8098=DIRECTION('',(0.,-1.,0.)); #8099=DIRECTION('',(0.,1.,0.)); #8100=DIRECTION('',(0.,0.,1.)); #8101=DIRECTION('',(-1.,0.,0.)); #8102=DIRECTION('',(0.,0.,-1.)); #8103=DIRECTION('',(0.,0.,1.)); #8104=DIRECTION('',(1.,0.,0.)); #8105=DIRECTION('',(-1.,0.,0.)); #8106=DIRECTION('',(0.,1.,0.)); #8107=DIRECTION('',(0.,-1.,0.)); #8108=DIRECTION('',(0.,0.,-1.)); #8109=DIRECTION('',(-1.,0.,0.)); #8110=DIRECTION('',(0.,0.,1.)); #8111=DIRECTION('',(0.,0.58276441755068,0.81264114690115)); #8112=DIRECTION('',(0.,-0.81264114690115,0.58276441755068)); #8113=DIRECTION('',(0.,0.81264114690115,-0.58276441755068)); #8114=DIRECTION('',(1.,0.,0.)); #8115=DIRECTION('',(0.,0.,-1.)); #8116=DIRECTION('',(-1.,0.,0.)); #8117=DIRECTION('',(0.,0.,1.)); #8118=DIRECTION('',(1.,0.,0.)); #8119=DIRECTION('',(0.,0.,-1.)); #8120=DIRECTION('',(1.,0.,0.)); #8121=DIRECTION('',(0.,0.,-1.)); #8122=DIRECTION('',(-1.,0.,0.)); #8123=DIRECTION('',(0.,0.,1.)); #8124=DIRECTION('',(1.,0.,0.)); #8125=DIRECTION('',(0.,0.,-1.)); #8126=DIRECTION('',(1.,0.,0.)); #8127=DIRECTION('',(0.,0.,-1.)); #8128=DIRECTION('',(-1.,0.,0.)); #8129=DIRECTION('',(0.,0.,1.)); #8130=DIRECTION('',(1.,0.,0.)); #8131=DIRECTION('',(0.,0.,-1.)); #8132=DIRECTION('',(1.,0.,0.)); #8133=DIRECTION('',(0.,0.,-1.)); #8134=DIRECTION('',(-1.,0.,0.)); #8135=DIRECTION('',(0.,0.,1.)); #8136=DIRECTION('',(1.,0.,0.)); #8137=DIRECTION('',(0.,0.,-1.)); #8138=DIRECTION('',(1.,0.,0.)); #8139=DIRECTION('',(0.,0.,-1.)); #8140=DIRECTION('',(0.,0.384615387237141,0.923076921984524)); #8141=DIRECTION('',(0.,-0.923076921984524,0.384615387237141)); #8142=DIRECTION('',(1.,0.,0.)); #8143=DIRECTION('',(0.,-0.923076921984524,0.384615387237141)); #8144=DIRECTION('',(1.,0.,0.)); #8145=DIRECTION('',(0.,-1.,0.)); #8146=DIRECTION('',(0.,0.,-1.)); #8147=DIRECTION('',(0.,0.,-1.)); #8148=DIRECTION('',(1.,0.,0.)); #8149=DIRECTION('',(0.,-0.384615387237162,-0.923076921984516)); #8150=DIRECTION('',(0.,0.923076921984516,-0.384615387237162)); #8151=DIRECTION('',(0.,0.923076921984516,-0.384615387237162)); #8152=DIRECTION('',(1.,0.,0.)); #8153=DIRECTION('',(0.,-1.,0.)); #8154=DIRECTION('',(0.,0.,-1.)); #8155=DIRECTION('',(0.,0.,-1.)); #8156=DIRECTION('',(1.,0.,0.)); #8157=DIRECTION('',(0.,-0.384615387237162,0.923076921984516)); #8158=DIRECTION('',(0.,-0.923076921984516,-0.384615387237162)); #8159=DIRECTION('',(0.,-0.923076921984516,-0.384615387237162)); #8160=DIRECTION('',(1.,0.,0.)); #8161=DIRECTION('',(0.,-1.,0.)); #8162=DIRECTION('',(0.,0.,-1.)); #8163=DIRECTION('',(0.,0.,-1.)); #8164=DIRECTION('',(1.,0.,0.)); #8165=DIRECTION('',(0.,0.384615387237141,-0.923076921984524)); #8166=DIRECTION('',(0.,0.923076921984524,0.384615387237141)); #8167=DIRECTION('',(0.,0.923076921984524,0.384615387237141)); #8168=DIRECTION('',(1.,0.,0.)); #8169=DIRECTION('',(0.,0.384615387237145,0.923076921984523)); #8170=DIRECTION('',(0.,-0.923076921984523,0.384615387237145)); #8171=DIRECTION('',(0.,-0.923076921984523,0.384615387237145)); #8172=DIRECTION('',(1.,0.,0.)); #8173=DIRECTION('',(0.,-0.923076921984523,0.384615387237145)); #8174=DIRECTION('',(1.,0.,0.)); #8175=DIRECTION('',(0.,0.384615387237145,-0.923076921984523)); #8176=DIRECTION('',(0.,0.923076921984523,0.384615387237145)); #8177=DIRECTION('',(0.,0.923076921984523,0.384615387237145)); #8178=DIRECTION('',(0.,0.923076921984523,0.384615387237145)); #8179=DIRECTION('',(1.,0.,0.)); #8180=DIRECTION('',(0.,-1.,0.)); #8181=DIRECTION('',(0.,0.,-1.)); #8182=DIRECTION('',(0.,0.,-1.)); #8183=DIRECTION('',(0.,0.,-1.)); #8184=DIRECTION('',(0.,1.,0.)); #8185=DIRECTION('',(0.,0.,1.)); #8186=DIRECTION('',(0.,0.,1.)); #8187=DIRECTION('',(1.,0.,0.)); #8188=DIRECTION('',(0.,0.,-1.)); #8189=DIRECTION('',(-1.,0.,0.)); #8190=DIRECTION('',(0.,0.,1.)); #8191=DIRECTION('',(1.,0.,0.)); #8192=DIRECTION('',(0.,0.,-1.)); #8193=DIRECTION('',(1.,0.,0.)); #8194=DIRECTION('',(1.,0.,0.)); #8195=DIRECTION('',(0.,0.,-1.)); #8196=DIRECTION('',(1.,0.,0.)); #8197=DIRECTION('',(1.,0.,0.)); #8198=DIRECTION('',(0.,0.,-1.)); #8199=DIRECTION('',(1.,0.,0.)); #8200=DIRECTION('',(0.,0.,-1.)); #8201=DIRECTION('',(1.,0.,0.)); #8202=DIRECTION('',(0.,-1.,0.)); #8203=DIRECTION('',(0.,0.,-1.)); #8204=DIRECTION('',(0.,0.,-1.)); #8205=DIRECTION('',(1.,0.,0.)); #8206=DIRECTION('',(0.,0.,-1.)); #8207=DIRECTION('',(-1.,0.,0.)); #8208=DIRECTION('',(0.,1.,0.)); #8209=DIRECTION('',(1.,0.,0.)); #8210=DIRECTION('',(0.,1.,0.)); #8211=DIRECTION('',(0.,0.,1.)); #8212=DIRECTION('',(0.,0.,1.)); #8213=DIRECTION('',(1.,0.,0.)); #8214=DIRECTION('',(0.,0.,1.)); #8215=DIRECTION('',(1.,0.,0.)); #8216=DIRECTION('',(0.,0.,-1.)); #8217=DIRECTION('',(-1.,0.,0.)); #8218=DIRECTION('',(0.,1.,0.)); #8219=DIRECTION('',(0.,1.,0.)); #8220=DIRECTION('',(1.,0.,0.)); #8221=DIRECTION('',(0.,-1.,0.)); #8222=DIRECTION('',(0.,0.,-1.)); #8223=DIRECTION('',(0.,0.,-1.)); #8224=DIRECTION('',(0.,0.,-1.)); #8225=DIRECTION('',(1.,0.,0.)); #8226=DIRECTION('',(0.,1.,0.)); #8227=DIRECTION('',(0.,0.,1.)); #8228=DIRECTION('',(0.,0.,1.)); #8229=DIRECTION('',(1.,0.,0.)); #8230=DIRECTION('',(0.,0.,1.)); #8231=DIRECTION('',(1.,0.,0.)); #8232=DIRECTION('',(0.,0.,-1.)); #8233=DIRECTION('',(-1.,0.,0.)); #8234=DIRECTION('',(0.,1.,0.)); #8235=DIRECTION('',(0.,1.,0.)); #8236=DIRECTION('',(1.,0.,0.)); #8237=DIRECTION('',(0.,-1.,0.)); #8238=DIRECTION('',(0.,0.,-1.)); #8239=DIRECTION('',(0.,0.,-1.)); #8240=DIRECTION('',(0.,0.,-1.)); #8241=DIRECTION('',(1.,0.,0.)); #8242=DIRECTION('',(1.,0.,0.)); #8243=DIRECTION('',(0.,0.,-1.)); #8244=DIRECTION('',(1.,0.,0.)); #8245=DIRECTION('',(0.,0.,-1.)); #8246=DIRECTION('',(1.,0.,0.)); #8247=DIRECTION('',(0.,0.,-1.)); #8248=DIRECTION('',(1.,0.,0.)); #8249=DIRECTION('',(0.,0.,-1.)); #8250=DIRECTION('',(1.,0.,0.)); #8251=DIRECTION('',(0.,0.,-1.)); #8252=DIRECTION('',(1.,0.,0.)); #8253=DIRECTION('',(0.,0.,-1.)); #8254=DIRECTION('',(0.,1.,0.)); #8255=DIRECTION('',(0.,0.,1.)); #8256=DIRECTION('',(0.,0.,1.)); #8257=DIRECTION('',(1.,0.,0.)); #8258=DIRECTION('',(0.,0.,-1.)); #8259=DIRECTION('',(-1.,0.,0.)); #8260=DIRECTION('',(0.,0.,1.)); #8261=DIRECTION('',(-1.,0.,0.)); #8262=DIRECTION('',(0.,0.,1.)); #8263=DIRECTION('',(0.,0.,1.)); #8264=DIRECTION('',(1.,0.,0.)); #8265=DIRECTION('',(1.,0.,0.)); #8266=DIRECTION('',(0.,-1.,0.)); #8267=DIRECTION('',(1.,0.,0.)); #8268=DIRECTION('',(0.,-1.,0.)); #8269=DIRECTION('',(0.,0.,-1.)); #8270=DIRECTION('',(0.,0.,-1.)); #8271=DIRECTION('',(1.,0.,0.)); #8272=DIRECTION('',(0.,0.,-1.)); #8273=DIRECTION('',(-1.,0.,0.)); #8274=DIRECTION('',(0.,1.,0.)); #8275=DIRECTION('',(1.,0.,0.)); #8276=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #8277=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #8278=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #8279=DIRECTION('',(1.,0.,0.)); #8280=DIRECTION('',(0.,0.,-1.)); #8281=DIRECTION('',(-1.,0.,0.)); #8282=DIRECTION('',(0.,1.,0.)); #8283=DIRECTION('',(1.,0.,0.)); #8284=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #8285=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #8286=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); #8287=DIRECTION('',(1.,0.,0.)); #8288=DIRECTION('',(0.,1.,0.)); #8289=DIRECTION('',(0.,0.,1.)); #8290=DIRECTION('',(0.,0.,1.)); #8291=DIRECTION('',(1.,0.,0.)); #8292=DIRECTION('',(0.,0.,-1.)); #8293=DIRECTION('',(0.,-1.,0.)); #8294=DIRECTION('',(0.,0.,-1.)); #8295=DIRECTION('',(1.,0.,0.)); #8296=DIRECTION('',(0.,0.,-1.)); #8297=DIRECTION('',(1.,0.,0.)); #8298=DIRECTION('',(1.,0.,0.)); #8299=DIRECTION('',(0.,0.,-1.)); #8300=DIRECTION('',(1.,0.,0.)); #8301=DIRECTION('',(0.,0.,-1.)); #8302=DIRECTION('',(1.,0.,0.)); #8303=DIRECTION('',(0.,-0.582764417550682,0.812641146901149)); #8304=DIRECTION('',(0.,-0.812641146901149,-0.582764417550682)); #8305=DIRECTION('',(0.,-0.812641146901149,-0.582764417550682)); #8306=DIRECTION('',(1.,0.,0.)); #8307=DIRECTION('',(0.,1.,0.)); #8308=DIRECTION('',(0.,0.,1.)); #8309=DIRECTION('',(0.,0.,1.)); #8310=DIRECTION('',(1.,0.,0.)); #8311=DIRECTION('',(0.,0.,1.)); #8312=DIRECTION('',(1.,0.,0.)); #8313=DIRECTION('',(0.,-1.,0.)); #8314=DIRECTION('',(1.,0.,0.)); #8315=DIRECTION('',(0.,-1.,0.)); #8316=DIRECTION('',(0.,0.,-1.)); #8317=DIRECTION('',(0.,0.,-1.)); #8318=DIRECTION('',(1.,0.,0.)); #8319=DIRECTION('',(0.,0.,-1.)); #8320=DIRECTION('',(-1.,0.,0.)); #8321=DIRECTION('',(0.,1.,0.)); #8322=DIRECTION('',(1.,0.,0.)); #8323=DIRECTION('',(0.,0.582764417550682,-0.812641146901149)); #8324=DIRECTION('',(0.,0.812641146901149,0.582764417550682)); #8325=DIRECTION('',(0.,0.812641146901149,0.582764417550682)); #8326=DIRECTION('',(1.,0.,0.)); #8327=DIRECTION('',(1.,0.,0.)); #8328=DIRECTION('',(0.,0.,-1.)); #8329=DIRECTION('',(1.,0.,0.)); #8330=DIRECTION('',(0.,0.,-1.)); #8331=DIRECTION('',(1.,0.,0.)); #8332=DIRECTION('',(0.,0.,-1.)); #8333=DIRECTION('',(1.,0.,0.)); #8334=DIRECTION('',(0.,0.,-1.)); #8335=DIRECTION('',(1.,0.,0.)); #8336=DIRECTION('',(0.,0.,-1.)); #8337=DIRECTION('',(1.,0.,0.)); #8338=DIRECTION('',(0.,0.,-1.)); #8339=DIRECTION('',(1.,0.,0.)); #8340=DIRECTION('',(0.,0.,-1.)); #8341=DIRECTION('',(1.,0.,0.)); #8342=DIRECTION('',(0.,0.,-1.)); #8343=DIRECTION('',(1.,0.,0.)); #8344=DIRECTION('',(0.,0.,-1.)); #8345=DIRECTION('',(1.,0.,0.)); #8346=DIRECTION('',(0.,0.,-1.)); #8347=DIRECTION('',(1.,0.,0.)); #8348=DIRECTION('',(0.,0.,-1.)); #8349=DIRECTION('',(1.,0.,0.)); #8350=DIRECTION('',(0.,0.,-1.)); #8351=DIRECTION('',(1.,0.,0.)); #8352=DIRECTION('',(0.,0.,-1.)); #8353=DIRECTION('',(1.,0.,0.)); #8354=DIRECTION('',(0.,0.,-1.)); #8355=DIRECTION('',(1.,0.,0.)); #8356=DIRECTION('',(0.,0.,-1.)); #8357=DIRECTION('',(1.,0.,0.)); #8358=DIRECTION('',(0.,0.,-1.)); #8359=DIRECTION('',(1.,0.,0.)); #8360=DIRECTION('',(0.,0.,-1.)); #8361=DIRECTION('',(1.,0.,0.)); #8362=DIRECTION('',(0.,0.,-1.)); #8363=DIRECTION('',(-1.,0.,0.)); #8364=DIRECTION('',(0.,0.,1.)); #8365=DIRECTION('',(-1.,0.,0.)); #8366=DIRECTION('',(0.,0.,1.)); #8367=DIRECTION('',(-1.,0.,0.)); #8368=DIRECTION('',(0.,0.,1.)); #8369=DIRECTION('',(-1.,0.,0.)); #8370=DIRECTION('',(0.,0.,1.)); #8371=DIRECTION('',(1.,0.,0.)); #8372=DIRECTION('',(0.,0.,-1.)); #8373=DIRECTION('',(-1.,0.,0.)); #8374=DIRECTION('',(0.,0.,1.)); #8375=DIRECTION('',(-1.,0.,0.)); #8376=DIRECTION('',(0.,0.,1.)); #8377=DIRECTION('',(-1.,0.,0.)); #8378=DIRECTION('',(0.,0.,1.)); #8379=DIRECTION('',(-1.,0.,0.)); #8380=DIRECTION('',(0.,0.,1.)); #8381=DIRECTION('',(-1.,0.,0.)); #8382=DIRECTION('',(0.,0.,1.)); #8383=DIRECTION('',(1.,0.,0.)); #8384=DIRECTION('',(0.,0.,-1.)); #8385=DIRECTION('',(-1.,0.,0.)); #8386=DIRECTION('',(0.,0.,1.)); #8387=DIRECTION('',(-1.,0.,0.)); #8388=DIRECTION('',(0.,0.,1.)); #8389=DIRECTION('',(-1.,0.,0.)); #8390=DIRECTION('',(0.,0.,1.)); #8391=DIRECTION('',(1.,0.,0.)); #8392=DIRECTION('',(0.,0.,-1.)); #8393=DIRECTION('',(-1.,0.,0.)); #8394=DIRECTION('',(0.,0.,1.)); #8395=DIRECTION('',(1.,0.,0.)); #8396=DIRECTION('',(0.,0.,-1.)); #8397=DIRECTION('',(1.,0.,0.)); #8398=DIRECTION('',(0.,0.,-1.)); #8399=DIRECTION('',(1.,0.,0.)); #8400=DIRECTION('',(0.,0.,-1.)); #8401=DIRECTION('',(1.,0.,0.)); #8402=DIRECTION('',(0.,0.,-1.)); #8403=DIRECTION('',(1.,0.,0.)); #8404=DIRECTION('',(0.,0.,-1.)); #8405=DIRECTION('',(1.,0.,0.)); #8406=DIRECTION('',(0.,0.,-1.)); #8407=DIRECTION('',(1.,0.,0.)); #8408=DIRECTION('',(0.,0.,-1.)); #8409=DIRECTION('',(1.,0.,0.)); #8410=DIRECTION('',(0.,0.,-1.)); #8411=DIRECTION('',(1.,0.,0.)); #8412=DIRECTION('',(0.,0.,-1.)); #8413=DIRECTION('',(1.,0.,0.)); #8414=DIRECTION('',(0.,0.,-1.)); #8415=DIRECTION('',(1.,0.,0.)); #8416=DIRECTION('',(0.,0.,-1.)); #8417=DIRECTION('',(1.,0.,0.)); #8418=DIRECTION('',(0.,0.,-1.)); #8419=DIRECTION('',(1.,0.,0.)); #8420=DIRECTION('',(0.,0.,-1.)); #8421=DIRECTION('',(1.,0.,0.)); #8422=DIRECTION('',(0.,0.,-1.)); #8423=DIRECTION('',(1.,0.,0.)); #8424=DIRECTION('',(0.,0.,-1.)); #8425=DIRECTION('',(1.,0.,0.)); #8426=DIRECTION('',(0.,0.,-1.)); #8427=DIRECTION('',(-1.,0.,0.)); #8428=DIRECTION('',(0.,0.,1.)); #8429=DIRECTION('',(-1.,0.,0.)); #8430=DIRECTION('',(0.,0.,1.)); #8431=DIRECTION('',(-1.,0.,0.)); #8432=DIRECTION('',(0.,0.,1.)); #8433=DIRECTION('',(-1.,0.,0.)); #8434=DIRECTION('',(0.,0.,1.)); #8435=DIRECTION('',(-1.,0.,0.)); #8436=DIRECTION('',(0.,0.,1.)); #8437=DIRECTION('',(-1.,0.,0.)); #8438=DIRECTION('',(0.,0.,1.)); #8439=DIRECTION('',(1.,0.,0.)); #8440=DIRECTION('',(0.,0.,-1.)); #8441=DIRECTION('',(-1.,0.,0.)); #8442=DIRECTION('',(0.,0.,1.)); #8443=DIRECTION('',(-1.,0.,0.)); #8444=DIRECTION('',(0.,0.,1.)); #8445=DIRECTION('',(-1.,0.,0.)); #8446=DIRECTION('',(0.,0.,1.)); #8447=DIRECTION('',(-1.,0.,0.)); #8448=DIRECTION('',(0.,0.,1.)); #8449=DIRECTION('',(-1.,0.,0.)); #8450=DIRECTION('',(0.,0.,1.)); #8451=DIRECTION('',(1.,0.,0.)); #8452=DIRECTION('',(0.,0.,-1.)); #8453=DIRECTION('',(-1.,0.,0.)); #8454=DIRECTION('',(0.,0.,1.)); #8455=DIRECTION('',(-1.,0.,0.)); #8456=DIRECTION('',(0.,0.,1.)); #8457=DIRECTION('',(-1.,0.,0.)); #8458=DIRECTION('',(0.,0.,1.)); #8459=DIRECTION('',(1.,0.,0.)); #8460=DIRECTION('',(0.,0.,-1.)); #8461=DIRECTION('',(0.,-1.,0.)); #8462=DIRECTION('',(0.,0.,-1.)); #8463=DIRECTION('',(-1.,0.,0.)); #8464=DIRECTION('',(0.,0.,1.)); #8465=DIRECTION('',(-1.,0.,0.)); #8466=DIRECTION('',(-1.,0.,0.)); #8467=DIRECTION('',(0.,0.,1.)); #8468=DIRECTION('',(-1.,0.,0.)); #8469=DIRECTION('',(1.,0.,0.)); #8470=DIRECTION('',(0.,0.,-1.)); #8471=DIRECTION('',(0.,1.,0.)); #8472=DIRECTION('',(0.,0.,1.)); #8473=DIRECTION('',(-1.,0.,0.)); #8474=DIRECTION('',(0.,0.,-1.)); #8475=DIRECTION('',(-1.,0.,0.)); #8476=DIRECTION('',(0.,0.,1.)); #8477=DIRECTION('',(-1.,0.,0.)); #8478=DIRECTION('',(1.,0.,0.)); #8479=DIRECTION('',(0.,0.,-1.)); #8480=DIRECTION('',(0.,-0.871438975971685,0.490503935924494)); #8481=DIRECTION('',(0.,-0.490503935924494,-0.871438975971685)); #8482=DIRECTION('',(-1.,0.,0.)); #8483=DIRECTION('',(0.,0.490503935924494,0.871438975971686)); #8484=DIRECTION('',(-1.,0.,0.)); #8485=DIRECTION('',(0.,0.,1.)); #8486=DIRECTION('',(-1.,0.,0.)); #8487=DIRECTION('',(1.,0.,0.)); #8488=DIRECTION('',(0.,0.,-1.)); #8489=DIRECTION('',(0.,1.,2.58143374401311E-16)); #8490=DIRECTION('',(0.,-2.58143374401311E-16,1.)); #8491=DIRECTION('',(-1.,0.,0.)); #8492=DIRECTION('',(0.,2.58143374401311E-16,-1.)); #8493=DIRECTION('',(-1.,0.,0.)); #8494=DIRECTION('',(0.,0.,1.)); #8495=DIRECTION('',(-1.,0.,0.)); #8496=DIRECTION('',(1.,0.,0.)); #8497=DIRECTION('',(0.,0.,-1.)); #8498=DIRECTION('',(0.,1.,5.16286748802621E-16)); #8499=DIRECTION('',(0.,-5.16286748802621E-16,1.)); #8500=DIRECTION('',(-1.,0.,0.)); #8501=DIRECTION('',(0.,5.16286748802621E-16,-1.)); #8502=DIRECTION('',(-1.,0.,0.)); #8503=DIRECTION('',(0.,0.,1.)); #8504=DIRECTION('',(-1.,0.,0.)); #8505=DIRECTION('',(1.,0.,0.)); #8506=DIRECTION('',(0.,0.,-1.)); #8507=DIRECTION('',(0.,-1.,0.)); #8508=DIRECTION('',(0.,0.,-1.)); #8509=DIRECTION('',(-1.,0.,0.)); #8510=DIRECTION('',(0.,0.,1.)); #8511=DIRECTION('',(-1.,0.,0.)); #8512=DIRECTION('',(0.,0.,1.)); #8513=DIRECTION('',(-1.,0.,0.)); #8514=DIRECTION('',(1.,0.,0.)); #8515=DIRECTION('',(0.,0.,-1.)); #8516=DIRECTION('',(0.,0.871438975971685,-0.490503935924494)); #8517=DIRECTION('',(0.,0.490503935924494,0.871438975971685)); #8518=DIRECTION('',(-1.,0.,0.)); #8519=DIRECTION('',(0.,-0.490503935924494,-0.871438975971685)); #8520=DIRECTION('',(-1.,0.,0.)); #8521=DIRECTION('',(0.,0.,1.)); #8522=DIRECTION('',(-1.,0.,0.)); #8523=DIRECTION('',(1.,0.,0.)); #8524=DIRECTION('',(0.,0.,-1.)); #8525=DIRECTION('',(0.,-1.,5.16286748802621E-16)); #8526=DIRECTION('',(0.,-5.16286748802621E-16,-1.)); #8527=DIRECTION('',(-1.,0.,0.)); #8528=DIRECTION('',(0.,5.16286748802621E-16,1.)); #8529=DIRECTION('',(-1.,0.,0.)); #8530=DIRECTION('',(0.,0.,1.)); #8531=DIRECTION('',(1.,0.,0.)); #8532=DIRECTION('',(0.,0.,-1.)); #8533=DIRECTION('',(1.,0.,0.)); #8534=DIRECTION('',(0.,0.,-1.)); #8535=CARTESIAN_POINT('',(0.,0.,0.)); #8536=CARTESIAN_POINT('',(39.05,0.,0.)); #8537=CARTESIAN_POINT('',(39.05,-0.305,0.105)); #8538=CARTESIAN_POINT('',(39.05,-0.305,0.35)); #8539=CARTESIAN_POINT('',(39.05,-0.55,0.105)); #8540=CARTESIAN_POINT('',(39.05,-0.305,0.245)); #8541=CARTESIAN_POINT('',(39.05,-0.305,0.245)); #8542=CARTESIAN_POINT('',(39.05,-0.305,0.105)); #8543=CARTESIAN_POINT('',(39.05,-0.445,0.105)); #8544=CARTESIAN_POINT('',(39.05,-0.445,-0.105)); #8545=CARTESIAN_POINT('',(39.05,-0.445,-0.105)); #8546=CARTESIAN_POINT('',(39.05,-0.305,-0.105)); #8547=CARTESIAN_POINT('',(39.05,-0.182998543363964,-0.173670551029429)); #8548=CARTESIAN_POINT('',(39.05,0.0414974508869371,0.225173464301501)); #8549=CARTESIAN_POINT('',(39.05,0.041497450886937,0.225173464301501)); #8550=CARTESIAN_POINT('',(39.05,0.255,0.105)); #8551=CARTESIAN_POINT('',(39.05,0.5,0.105)); #8552=CARTESIAN_POINT('',(39.05,0.5,-0.105)); #8553=CARTESIAN_POINT('',(39.05,0.5,-0.105)); #8554=CARTESIAN_POINT('',(39.05,0.255,-0.105)); #8555=CARTESIAN_POINT('',(39.05,0.255,-0.35)); #8556=CARTESIAN_POINT('',(39.05,0.255,-0.245)); #8557=CARTESIAN_POINT('',(39.05,0.255,-0.245)); #8558=CARTESIAN_POINT('',(39.05,0.255,-0.105)); #8559=CARTESIAN_POINT('',(39.05,0.395,-0.105)); #8560=CARTESIAN_POINT('',(39.05,0.395,0.105)); #8561=CARTESIAN_POINT('',(39.05,0.395,0.105)); #8562=CARTESIAN_POINT('',(39.05,0.255,0.105)); #8563=CARTESIAN_POINT('',(39.05,0.132998543363964,0.173670551029429)); #8564=CARTESIAN_POINT('',(39.05,-0.0914974508869371,-0.225173464301501)); #8565=CARTESIAN_POINT('',(39.05,-0.091497450886937,-0.225173464301501)); #8566=CARTESIAN_POINT('',(39.05,-0.305,-0.105)); #8567=CARTESIAN_POINT('',(39.05,-0.55,-0.105)); #8568=CARTESIAN_POINT('',(39.05,-0.55,0.105)); #8569=CARTESIAN_POINT('',(39.05,0.,2.4)); #8570=CARTESIAN_POINT('',(39.05,0.,4.2)); #8571=CARTESIAN_POINT('',(39.05,0.,-2.4)); #8572=CARTESIAN_POINT('',(39.05,0.,-4.2)); #8573=CARTESIAN_POINT('',(39.05,-1.800694912,3.020570825)); #8574=CARTESIAN_POINT('',(39.05,-1.800694912,3.020570825)); #8575=CARTESIAN_POINT('',(39.05,-2.8,3.020570825)); #8576=CARTESIAN_POINT('',(39.05,-1.800694912,2.75726426500001)); #8577=CARTESIAN_POINT('',(39.05,-1.800694912,2.75726426500001)); #8578=CARTESIAN_POINT('',(39.05,-2.07515332433378,2.74588999492013)); #8579=CARTESIAN_POINT('',(39.05,-2.300347456,2.58858647562153)); #8580=CARTESIAN_POINT('',(39.05,-2.52554158766622,2.74588999492013)); #8581=CARTESIAN_POINT('',(39.05,-2.8,2.75726426500001)); #8582=CARTESIAN_POINT('',(39.05,-2.8,3.020570825)); #8583=CARTESIAN_POINT('',(39.05,0.,0.)); #8584=CARTESIAN_POINT('',(39.05,4.47458333333333,0.477602337782756)); #8585=CARTESIAN_POINT('',(39.05,-4.47458333333333,0.477602337782756)); #8586=CARTESIAN_POINT('',(39.05,-3.,0.)); #8587=CARTESIAN_POINT('',(39.05,-4.47458333333333,-0.477602337782756)); #8588=CARTESIAN_POINT('',(39.05,0.,0.)); #8589=CARTESIAN_POINT('',(39.05,4.47458333333333,-0.477602337782756)); #8590=CARTESIAN_POINT('',(39.05,3.,0.)); #8591=CARTESIAN_POINT('',(39.05,-2.94999999999999,-2.2)); #8592=CARTESIAN_POINT('',(39.05,-2.94999999999999,-2.2)); #8593=CARTESIAN_POINT('',(39.05,-2.94999999999999,-2.38055555576923)); #8594=CARTESIAN_POINT('',(39.05,-1.94999999999999,-2.61666667)); #8595=CARTESIAN_POINT('',(39.05,-1.94999999999999,-2.61666667)); #8596=CARTESIAN_POINT('',(39.05,-1.94999999999999,-2.78333333)); #8597=CARTESIAN_POINT('',(39.05,-1.94999999999999,-2.78333333)); #8598=CARTESIAN_POINT('',(39.05,-2.94999999999999,-3.2)); #8599=CARTESIAN_POINT('',(39.05,-2.94999999999999,-3.2)); #8600=CARTESIAN_POINT('',(39.05,-2.94999999999999,-3.01944444423077)); #8601=CARTESIAN_POINT('',(39.05,-2.94999999999999,-3.01944444423077)); #8602=CARTESIAN_POINT('',(39.05,-2.61666666666668,-2.88055555423077)); #8603=CARTESIAN_POINT('',(39.05,-2.61666666666668,-2.88055555423077)); #8604=CARTESIAN_POINT('',(39.05,-2.61666666666668,-2.51944444576923)); #8605=CARTESIAN_POINT('',(39.05,-2.61666666666668,-2.51944444576923)); #8606=CARTESIAN_POINT('',(39.05,-2.94999999999999,-2.38055555576923)); #8607=CARTESIAN_POINT('',(39.05,3.12751262658471,2.125)); #8608=CARTESIAN_POINT('',(39.05,3.12751262658471,2.125)); #8609=CARTESIAN_POINT('',(39.05,3.30251262658471,1.95)); #8610=CARTESIAN_POINT('',(39.05,3.375,2.125)); #8611=CARTESIAN_POINT('',(39.05,3.375,2.125)); #8612=CARTESIAN_POINT('',(39.05,3.55,1.95)); #8613=CARTESIAN_POINT('',(39.05,3.55,1.95)); #8614=CARTESIAN_POINT('',(39.05,3.55,1.775)); #8615=CARTESIAN_POINT('',(39.05,3.55,1.775)); #8616=CARTESIAN_POINT('',(39.05,2.5,1.775)); #8617=CARTESIAN_POINT('',(39.05,2.5,1.775)); #8618=CARTESIAN_POINT('',(39.05,2.5,1.95)); #8619=CARTESIAN_POINT('',(39.05,2.5,1.95)); #8620=CARTESIAN_POINT('',(39.05,3.30251262658471,1.95)); #8621=CARTESIAN_POINT('',(39.05,-3.13,2.2)); #8622=CARTESIAN_POINT('',(39.05,-3.13,2.2)); #8623=CARTESIAN_POINT('',(39.05,-3.13,2.06)); #8624=CARTESIAN_POINT('',(39.05,-3.13,1.92)); #8625=CARTESIAN_POINT('',(39.05,-3.30985401459855,1.70540145985402)); #8626=CARTESIAN_POINT('',(39.05,-3.76,2.0282119205298)); #8627=CARTESIAN_POINT('',(39.05,-3.76,2.0282119205298)); #8628=CARTESIAN_POINT('',(39.05,-3.76,1.64)); #8629=CARTESIAN_POINT('',(39.05,-3.76,1.64)); #8630=CARTESIAN_POINT('',(39.05,-3.9,1.64)); #8631=CARTESIAN_POINT('',(39.05,-3.9,1.64)); #8632=CARTESIAN_POINT('',(39.05,-3.9,2.2)); #8633=CARTESIAN_POINT('',(39.05,-3.9,2.2)); #8634=CARTESIAN_POINT('',(39.05,-3.76,2.2)); #8635=CARTESIAN_POINT('',(39.05,-3.76,2.2)); #8636=CARTESIAN_POINT('',(39.05,-3.21992700729927,1.81270072992701)); #8637=CARTESIAN_POINT('',(39.05,-3.21992700729927,1.81270072992701)); #8638=CARTESIAN_POINT('',(39.05,-3.13,1.92)); #8639=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #8640=CARTESIAN_POINT('',(0.9,5.98853070460526,-1.4)); #8641=CARTESIAN_POINT('',(0.9,5.98853070460526,-1.4)); #8642=CARTESIAN_POINT('',(0.9,6.55209890035247,-1.4)); #8643=CARTESIAN_POINT('',(0.9,0.,0.)); #8644=CARTESIAN_POINT('',(0.9,5.98853070460526,1.4)); #8645=CARTESIAN_POINT('',(0.9,6.55209890035247,1.4)); #8646=CARTESIAN_POINT('',(0.9,6.55209890035247,1.4)); #8647=CARTESIAN_POINT('',(0.9,-8.67361737988404E-16,1.95156391047391E-15)); #8648=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #8649=CARTESIAN_POINT('',(0.9,0.0140470334775147,6.1499839577718)); #8650=CARTESIAN_POINT('',(0.9,0.0140470334775147,6.1499839577718)); #8651=CARTESIAN_POINT('',(0.9,-0.0340655582622856,6.69991339777913)); #8652=CARTESIAN_POINT('',(0.9,0.,0.)); #8653=CARTESIAN_POINT('',(0.9,-1.08176713442341,6.05411264074938)); #8654=CARTESIAN_POINT('',(0.9,-1.12987972616321,6.60404208075671)); #8655=CARTESIAN_POINT('',(0.9,-1.12987972616321,6.60404208075671)); #8656=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #8657=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #8658=CARTESIAN_POINT('',(0.9,-4.70213177704975,3.96389413976445)); #8659=CARTESIAN_POINT('',(0.9,-4.70213177704975,3.96389413976446)); #8660=CARTESIAN_POINT('',(0.9,-5.15432834651515,4.2805255864567)); #8661=CARTESIAN_POINT('',(0.9,0.,0.)); #8662=CARTESIAN_POINT('',(0.9,-5.3330658570359,3.06282689104656)); #8663=CARTESIAN_POINT('',(0.9,-5.7852624265013,3.3794583377388)); #8664=CARTESIAN_POINT('',(0.9,-5.7852624265013,3.37945833773881)); #8665=CARTESIAN_POINT('',(0.9,5.3776427755281E-13,-3.8597597340484E-13)); #8666=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #8667=CARTESIAN_POINT('',(0.9,-5.3330658570359,-3.06282689104656)); #8668=CARTESIAN_POINT('',(0.9,-5.3330658570359,-3.06282689104656)); #8669=CARTESIAN_POINT('',(0.9,-5.7852624265013,-3.3794583377388)); #8670=CARTESIAN_POINT('',(0.9,0.,0.)); #8671=CARTESIAN_POINT('',(0.9,-4.70213177704975,-3.96389413976445)); #8672=CARTESIAN_POINT('',(0.9,-5.15432834651515,-4.2805255864567)); #8673=CARTESIAN_POINT('',(0.9,-5.15432834651514,-4.2805255864567)); #8674=CARTESIAN_POINT('',(0.9,3.15719672627779E-13,2.15539391890118E-13)); #8675=CARTESIAN_POINT('',(20.3,0.,0.)); #8676=CARTESIAN_POINT('',(20.7048457198656,1.33951984137088,8.8035199715331)); #8677=CARTESIAN_POINT('',(20.7549986400197,1.31588175362947,8.8578468436776)); #8678=CARTESIAN_POINT('',(20.8000000126426,1.26007301204129,8.91169763678341)); #8679=CARTESIAN_POINT('',(20.8,1.18240329253364,8.92199094674533)); #8680=CARTESIAN_POINT('',(20.7048457198656,1.33951984137088,8.8035199715331)); #8681=CARTESIAN_POINT('',(20.8,1.18240329253364,8.92199094674533)); #8682=CARTESIAN_POINT('',(20.7048457198656,1.33951984137088,8.8035199715331)); #8683=CARTESIAN_POINT('',(20.5109639691965,1.43090034371899,8.59350249220737)); #8684=CARTESIAN_POINT('',(20.3327653605044,1.6149960789087,8.38385329579016)); #8685=CARTESIAN_POINT('',(20.2999999999999,1.89867005722405,8.28523095717911)); #8686=CARTESIAN_POINT('',(20.3,1.89867005722319,8.28523095717941)); #8687=CARTESIAN_POINT('',(20.3,0.,0.)); #8688=CARTESIAN_POINT('',(20.3,-1.94569585892298,8.27431372529287)); #8689=CARTESIAN_POINT('',(20.3,-1.94569585892298,8.27431372529287)); #8690=CARTESIAN_POINT('',(20.3152004888615,-1.6403004112518,8.36174222235105)); #8691=CARTESIAN_POINT('',(20.5016830723158,-1.43523124386827,8.58348263269517)); #8692=CARTESIAN_POINT('',(20.7056064368322,-1.33781818479866,8.80454817194889)); #8693=CARTESIAN_POINT('',(20.7056064368322,-1.33781818479866,8.80454817194888)); #8694=CARTESIAN_POINT('',(20.8,-1.18104465475623,8.92217089745941)); #8695=CARTESIAN_POINT('',(20.8,-1.25798099680928,8.91198668819212)); #8696=CARTESIAN_POINT('',(20.7553724860437,-1.31404522158762,8.85849764685754)); #8697=CARTESIAN_POINT('',(20.7056064368322,-1.33781818479866,8.80454817194888)); #8698=CARTESIAN_POINT('',(20.8,-1.18104465475623,8.92217089745941)); #8699=CARTESIAN_POINT('',(20.8,0.,0.)); #8700=CARTESIAN_POINT('',(20.3,0.,0.)); #8701=CARTESIAN_POINT('',(20.3,0.,0.)); #8702=CARTESIAN_POINT('',(20.3,6.40599291147153,5.58688238807446)); #8703=CARTESIAN_POINT('',(20.3,3.28941856481465,7.83771175187329)); #8704=CARTESIAN_POINT('',(20.3,3.28941856481465,7.83771175187329)); #8705=CARTESIAN_POINT('',(20.3152004890661,3.5878778572041,7.7289359500633)); #8706=CARTESIAN_POINT('',(20.5016831175262,3.884118085391,7.78779110579921)); #8707=CARTESIAN_POINT('',(20.7056064412794,4.09286592623667,7.90937890082448)); #8708=CARTESIAN_POINT('',(20.7056064412794,4.09286592623667,7.90937890082448)); #8709=CARTESIAN_POINT('',(20.8,4.28883527514626,7.91238851312681)); #8710=CARTESIAN_POINT('',(20.8,4.22060855704511,7.94937015966935)); #8711=CARTESIAN_POINT('',(20.7553723224042,4.14380919276149,7.93905144461245)); #8712=CARTESIAN_POINT('',(20.7056064412794,4.09286592623667,7.90937890082448)); #8713=CARTESIAN_POINT('',(20.8,4.28883527514626,7.91238851312681)); #8714=CARTESIAN_POINT('',(20.8,0.,0.)); #8715=CARTESIAN_POINT('',(20.8,6.20079905744847,6.52304308196306)); #8716=CARTESIAN_POINT('',(20.7048457198656,6.25827352350088,6.33484725937857)); #8717=CARTESIAN_POINT('',(20.7549991672403,6.27108257770397,6.39269334964825)); #8718=CARTESIAN_POINT('',(20.8000000126424,6.25758397605455,6.46906340536677)); #8719=CARTESIAN_POINT('',(20.8,6.20079905744847,6.52304308196306)); #8720=CARTESIAN_POINT('',(20.7048457198656,6.25827352350088,6.33484725937857)); #8721=CARTESIAN_POINT('',(20.7048457198656,6.25827352350088,6.33484725937857)); #8722=CARTESIAN_POINT('',(20.5109636646903,6.20875664801378,6.11122708664884)); #8723=CARTESIAN_POINT('',(20.3327653478159,6.23446466476053,5.83340882147904)); #8724=CARTESIAN_POINT('',(20.2999999999999,6.40599291147204,5.58688238807371)); #8725=CARTESIAN_POINT('',(20.3,0.,0.)); #8726=CARTESIAN_POINT('',(20.3,0.,0.)); #8727=CARTESIAN_POINT('',(20.3,8.46644420522863,0.754534637873249)); #8728=CARTESIAN_POINT('',(20.3,7.26808690001803,4.40737028326261)); #8729=CARTESIAN_POINT('',(20.3,7.26808690001803,4.40737028326261)); #8730=CARTESIAN_POINT('',(20.3152004890402,7.44560872727764,4.1439388409941)); #8731=CARTESIAN_POINT('',(20.5016830214927,7.71986618734861,4.01742803336977)); #8732=CARTESIAN_POINT('',(20.7056064492958,7.96021437217152,3.99309571370791)); #8733=CARTESIAN_POINT('',(20.7056064492967,7.96021437217087,3.99309571370872)); #8734=CARTESIAN_POINT('',(20.8,8.12052590209239,3.88034264897401)); #8735=CARTESIAN_POINT('',(20.8,8.08706638106741,3.95036453408428)); #8736=CARTESIAN_POINT('',(20.755372805172,8.01886998519116,3.98715754552258)); #8737=CARTESIAN_POINT('',(20.7056064492967,7.96021437217087,3.99309571370872)); #8738=CARTESIAN_POINT('',(20.8,8.12052590209239,3.88034264897401)); #8739=CARTESIAN_POINT('',(20.8,0.,0.)); #8740=CARTESIAN_POINT('',(20.8,8.85070034954516,1.63251441725985)); #8741=CARTESIAN_POINT('',(20.7048457198656,8.78657943054711,1.44647820768056)); #8742=CARTESIAN_POINT('',(20.7549967450717,8.83094110928494,1.48574580808403)); #8743=CARTESIAN_POINT('',(20.7999999921195,8.86491203661278,1.55546550835505)); #8744=CARTESIAN_POINT('',(20.8,8.85070034954516,1.63251441725985)); #8745=CARTESIAN_POINT('',(20.7048457198656,8.78657943054711,1.44647820768056)); #8746=CARTESIAN_POINT('',(20.7048457198656,8.78657943054711,1.44647820768056)); #8747=CARTESIAN_POINT('',(20.5109020977836,8.61502433739861,1.29462277068805)); #8748=CARTESIAN_POINT('',(20.332685127355,8.47256451914005,1.0540653352378)); #8749=CARTESIAN_POINT('',(20.3,8.46644420522863,0.75453463787325)); #8750=CARTESIAN_POINT('',(20.3,0.,0.)); #8751=CARTESIAN_POINT('',(20.3,0.,0.)); #8752=CARTESIAN_POINT('',(20.3,7.29300157644299,-4.36601969830646)); #8753=CARTESIAN_POINT('',(20.3,8.47059307260238,-0.706436832548134)); #8754=CARTESIAN_POINT('',(20.3,8.47059307260238,-0.706436832548133)); #8755=CARTESIAN_POINT('',(20.3152004893376,8.45937013075271,-1.02390206443169)); #8756=CARTESIAN_POINT('',(20.5016832387541,8.60688808235201,-1.2874561105231)); #8757=CARTESIAN_POINT('',(20.7056064412794,8.78703147038181,-1.44841431416438)); #8758=CARTESIAN_POINT('',(20.7056064412794,8.78703147038181,-1.44841431416438)); #8759=CARTESIAN_POINT('',(20.8,8.85045164096312,-1.63386221909106)); #8760=CARTESIAN_POINT('',(20.8,8.86454051619859,-1.55754433408825)); #8761=CARTESIAN_POINT('',(20.7553716997222,8.83099352154153,-1.48769442796952)); #8762=CARTESIAN_POINT('',(20.7056064412794,8.78703147038181,-1.44841431416437)); #8763=CARTESIAN_POINT('',(20.8,8.85045164096312,-1.63386221909106)); #8764=CARTESIAN_POINT('',(20.8,0.,0.)); #8765=CARTESIAN_POINT('',(20.8,8.1199348935225,-3.88157923079715)); #8766=CARTESIAN_POINT('',(20.7048457228821,7.95871064352207,-3.99439635502297)); #8767=CARTESIAN_POINT('',(20.7549992964814,8.01768390901894,-3.98870304909644)); #8768=CARTESIAN_POINT('',(20.7999999921196,8.08614423369454,-3.95226641005429)); #8769=CARTESIAN_POINT('',(20.8,8.1199348935225,-3.88157923079715)); #8770=CARTESIAN_POINT('',(20.7048457228821,7.95871064352206,-3.99439635502297)); #8771=CARTESIAN_POINT('',(20.7048457228821,7.95871064352206,-3.99439635502297)); #8772=CARTESIAN_POINT('',(20.5109635762894,7.73073355803073,-4.01640545105043)); #8773=CARTESIAN_POINT('',(20.3327653442341,7.47445698428627,-4.12670581021964)); #8774=CARTESIAN_POINT('',(20.2999999999999,7.29300157644244,-4.36601969830719)); #8775=CARTESIAN_POINT('',(20.3,0.,0.)); #8776=CARTESIAN_POINT('',(20.3,0.,0.)); #8777=CARTESIAN_POINT('',(20.3,3.3338802254627,-7.81890290528465)); #8778=CARTESIAN_POINT('',(20.3,6.43762059632203,-5.5504090892303)); #8779=CARTESIAN_POINT('',(20.3,6.43762059632266,-5.55040908922949)); #8780=CARTESIAN_POINT('',(20.3149610806384,6.24502165166984,-5.79670591102713)); #8781=CARTESIAN_POINT('',(20.5017557958587,6.20638921021804,-6.10065975750861)); #8782=CARTESIAN_POINT('',(20.705606420539,6.25750121137094,-6.33667928076184)); #8783=CARTESIAN_POINT('',(20.705606420539,6.25750121137094,-6.33667928076184)); #8784=CARTESIAN_POINT('',(20.8,6.19980566877323,-6.52398725239768)); #8785=CARTESIAN_POINT('',(20.8,6.25605701443558,-6.47053107625549)); #8786=CARTESIAN_POINT('',(20.7553728193048,6.26997927829661,-6.39429912000184)); #8787=CARTESIAN_POINT('',(20.705606420539,6.25750121137094,-6.33667928076184)); #8788=CARTESIAN_POINT('',(20.8,6.19980566877323,-6.52398725239768)); #8789=CARTESIAN_POINT('',(20.8,0.,0.)); #8790=CARTESIAN_POINT('',(20.8,4.28763028367946,-7.91304154863822)); #8791=CARTESIAN_POINT('',(20.7048458283752,4.09088500202178,-7.90954734023156)); #8792=CARTESIAN_POINT('',(20.754998149266,4.14194058051055,-7.93960420378298)); #8793=CARTESIAN_POINT('',(20.7999999873575,4.21874441390638,-7.95036689638958)); #8794=CARTESIAN_POINT('',(20.8,4.28763028367946,-7.91304154863822)); #8795=CARTESIAN_POINT('',(20.7048458283752,4.09088500202177,-7.90954734023155)); #8796=CARTESIAN_POINT('',(20.7048458283752,4.09088500202177,-7.90954734023155)); #8797=CARTESIAN_POINT('',(20.510964352728,3.89351175894977,-7.79335189058074)); #8798=CARTESIAN_POINT('',(20.3327653801448,3.62134622327697,-7.7319506202121)); #8799=CARTESIAN_POINT('',(20.2999999999999,3.33388022546183,-7.81890290528492)); #8800=CARTESIAN_POINT('',(20.3,0.,0.)); #8801=CARTESIAN_POINT('',(20.3,0.,0.)); #8802=CARTESIAN_POINT('',(20.3,-1.89867005722319,-8.28523095717942)); #8803=CARTESIAN_POINT('',(20.3,1.94569585892296,-8.27431372529288)); #8804=CARTESIAN_POINT('',(20.3,1.94569585892296,-8.27431372529288)); #8805=CARTESIAN_POINT('',(20.3152004885681,1.64030041714583,-8.36174222066372)); #8806=CARTESIAN_POINT('',(20.5016830656591,1.43523125124236,-8.58348262473185)); #8807=CARTESIAN_POINT('',(20.7056064250913,1.33781819040721,-8.80454815922106)); #8808=CARTESIAN_POINT('',(20.7056064250913,1.33781819040721,-8.80454815922106)); #8809=CARTESIAN_POINT('',(20.8,1.18104465475623,-8.92217089745942)); #8810=CARTESIAN_POINT('',(20.8,1.25798100290108,-8.91198668738574)); #8811=CARTESIAN_POINT('',(20.755372479491,1.31404522950707,-8.85849763905301)); #8812=CARTESIAN_POINT('',(20.7056064250913,1.33781819040721,-8.80454815922106)); #8813=CARTESIAN_POINT('',(20.8,1.18104465475623,-8.92217089745942)); #8814=CARTESIAN_POINT('',(20.8,0.,0.)); #8815=CARTESIAN_POINT('',(20.8,-1.18240335797378,-8.92199093807275)); #8816=CARTESIAN_POINT('',(20.7048458305318,-1.33951978921158,-8.8035200914095)); #8817=CARTESIAN_POINT('',(20.7549986879646,-1.31588168591949,-8.85784690222754)); #8818=CARTESIAN_POINT('',(20.7999999873574,-1.2600729955397,-8.91169761288428)); #8819=CARTESIAN_POINT('',(20.8,-1.18240335797378,-8.92199093807275)); #8820=CARTESIAN_POINT('',(20.7048458305318,-1.33951978921158,-8.8035200914095)); #8821=CARTESIAN_POINT('',(20.7048458305318,-1.33951978921158,-8.8035200914095)); #8822=CARTESIAN_POINT('',(20.5109640322757,-1.4309002767296,-8.5935025671695)); #8823=CARTESIAN_POINT('',(20.3327653668637,-1.61499602385202,-8.38385331493121)); #8824=CARTESIAN_POINT('',(20.2999999999999,-1.89867005722405,-8.28523095717912)); #8825=CARTESIAN_POINT('',(20.3,0.,0.)); #8826=CARTESIAN_POINT('',(20.3,0.,0.)); #8827=CARTESIAN_POINT('',(20.3,-6.40599291147152,-5.58688238807447)); #8828=CARTESIAN_POINT('',(20.3,-3.28941856481466,-7.83771175187329)); #8829=CARTESIAN_POINT('',(20.3,-3.28941856481466,-7.83771175187329)); #8830=CARTESIAN_POINT('',(20.3152004886953,-3.5878778499244,-7.72893595271645)); #8831=CARTESIAN_POINT('',(20.5016831090693,-3.88411807186533,-7.78779110312115)); #8832=CARTESIAN_POINT('',(20.705606426363,-4.09286591096739,-7.90937889193069)); #8833=CARTESIAN_POINT('',(20.705606426363,-4.09286591096739,-7.90937889193069)); #8834=CARTESIAN_POINT('',(20.8,-4.28883527514627,-7.91238851312681)); #8835=CARTESIAN_POINT('',(20.8,-4.22060855018166,-7.94937016338962)); #8836=CARTESIAN_POINT('',(20.7553723140787,-4.14380917879313,-7.93905144250441)); #8837=CARTESIAN_POINT('',(20.705606426363,-4.09286591096739,-7.90937889193069)); #8838=CARTESIAN_POINT('',(20.8,-4.28883527514627,-7.91238851312681)); #8839=CARTESIAN_POINT('',(20.8,0.,0.)); #8840=CARTESIAN_POINT('',(20.8,-6.20079910529305,-6.52304303648205)); #8841=CARTESIAN_POINT('',(20.7048458283752,-6.2582735512139,-6.33484738453163)); #8842=CARTESIAN_POINT('',(20.7549992139789,-6.2710825576673,-6.39269343480308)); #8843=CARTESIAN_POINT('',(20.7999999873576,-6.25758394948265,-6.46906339494703)); #8844=CARTESIAN_POINT('',(20.8,-6.20079910529305,-6.52304303648205)); #8845=CARTESIAN_POINT('',(20.7048458283752,-6.2582735512139,-6.33484738453164)); #8846=CARTESIAN_POINT('',(20.7048458283752,-6.2582735512139,-6.33484738453163)); #8847=CARTESIAN_POINT('',(20.5109637265407,-6.20875663807741,-6.11122718472114)); #8848=CARTESIAN_POINT('',(20.3327653540512,-6.23446463211837,-5.8334088683935)); #8849=CARTESIAN_POINT('',(20.2999999999999,-6.40599291147204,-5.58688238807372)); #8850=CARTESIAN_POINT('',(20.3,0.,0.)); #8851=CARTESIAN_POINT('',(20.3,0.,0.)); #8852=CARTESIAN_POINT('',(20.3,-8.46644420522863,-0.754534637873244)); #8853=CARTESIAN_POINT('',(20.3,-7.26808690001802,-4.40737028326263)); #8854=CARTESIAN_POINT('',(20.3,-7.26808690001802,-4.40737028326263)); #8855=CARTESIAN_POINT('',(20.3152004883254,-7.44560871892986,-4.14393885338168)); #8856=CARTESIAN_POINT('',(20.5016830051885,-7.71986616321761,-4.01742804452012)); #8857=CARTESIAN_POINT('',(20.7056064205381,-7.96021433827713,-3.9930957171393)); #8858=CARTESIAN_POINT('',(20.705606420539,-7.96021433827648,-3.99309571714012)); #8859=CARTESIAN_POINT('',(20.8,-8.12052590209239,-3.88034264897401)); #8860=CARTESIAN_POINT('',(20.8,-8.08706637457821,-3.95036454766445)); #8861=CARTESIAN_POINT('',(20.755372789122,-8.01886996101649,-3.98715755806367)); #8862=CARTESIAN_POINT('',(20.705606420539,-7.96021433827648,-3.99309571714012)); #8863=CARTESIAN_POINT('',(20.8,-8.12052590209239,-3.88034264897401)); #8864=CARTESIAN_POINT('',(20.8,0.,0.)); #8865=CARTESIAN_POINT('',(20.8,-8.85070035180031,-1.63251440503352)); #8866=CARTESIAN_POINT('',(20.7048457177089,-8.78657942863942,-1.44647820599193)); #8867=CARTESIAN_POINT('',(20.7549967412069,-8.83094110601528,-1.48574580418196)); #8868=CARTESIAN_POINT('',(20.7999999873574,-8.86491203352889,-1.5554654982242)); #8869=CARTESIAN_POINT('',(20.8,-8.85070035180031,-1.63251440503352)); #8870=CARTESIAN_POINT('',(20.7048457177089,-8.78657942863942,-1.44647820599192)); #8871=CARTESIAN_POINT('',(20.7048457177089,-8.78657942863942,-1.44647820599192)); #8872=CARTESIAN_POINT('',(20.5109020965477,-8.61502433640684,-1.29462276898962)); #8873=CARTESIAN_POINT('',(20.3326851272222,-8.47256451911519,-1.05406533402119)); #8874=CARTESIAN_POINT('',(20.3,-8.46644420522863,-0.754534637873244)); #8875=CARTESIAN_POINT('',(20.3,0.,0.)); #8876=CARTESIAN_POINT('',(20.3,0.,0.)); #8877=CARTESIAN_POINT('',(20.3,-7.29300157644299,4.36601969830647)); #8878=CARTESIAN_POINT('',(20.3,-8.47059307260238,0.706436832548106)); #8879=CARTESIAN_POINT('',(20.3,-8.47059307260238,0.706436832548106)); #8880=CARTESIAN_POINT('',(20.3152004888527,-8.45937013111078,1.02390205430274)); #8881=CARTESIAN_POINT('',(20.5016832276917,-8.60688807355289,1.2874560947787)); #8882=CARTESIAN_POINT('',(20.7056064217673,-8.7870314531451,1.44841429876337)); #8883=CARTESIAN_POINT('',(20.7056064217673,-8.7870314531451,1.44841429876337)); #8884=CARTESIAN_POINT('',(20.8,-8.85045164096312,1.63386221909107)); #8885=CARTESIAN_POINT('',(20.8,-8.86454051805254,1.55754432404561)); #8886=CARTESIAN_POINT('',(20.755371688832,-8.83099351327288,1.48769441144432)); #8887=CARTESIAN_POINT('',(20.7056064217673,-8.78703145314511,1.44841429876337)); #8888=CARTESIAN_POINT('',(20.8,-8.85045164096312,1.63386221909107)); #8889=CARTESIAN_POINT('',(20.8,0.,0.)); #8890=CARTESIAN_POINT('',(20.8,-8.1199348881605,3.881579242014)); #8891=CARTESIAN_POINT('',(20.7048457177089,-7.95871063743913,3.99439635561022)); #8892=CARTESIAN_POINT('',(20.7549992909249,-8.01768390153964,3.98870305163728)); #8893=CARTESIAN_POINT('',(20.7999999873574,-8.08614422457208,3.95226641784507)); #8894=CARTESIAN_POINT('',(20.8,-8.1199348881605,3.881579242014)); #8895=CARTESIAN_POINT('',(20.7048457177089,-7.95871063743913,3.99439635561022)); #8896=CARTESIAN_POINT('',(20.7048457177089,-7.95871063743913,3.99439635561022)); #8897=CARTESIAN_POINT('',(20.5109635733407,-7.73073355373036,4.01640545294581)); #8898=CARTESIAN_POINT('',(20.3327653439368,-7.47445698263998,4.12670581239086)); #8899=CARTESIAN_POINT('',(20.2999999999999,-7.29300157644244,4.36601969830719)); #8900=CARTESIAN_POINT('',(20.3,0.,0.)); #8901=CARTESIAN_POINT('',(20.3,0.,0.)); #8902=CARTESIAN_POINT('',(20.3,-3.33388022546269,7.81890290528465)); #8903=CARTESIAN_POINT('',(20.3,-6.43762059632202,5.55040908923032)); #8904=CARTESIAN_POINT('',(20.3,-6.43762059632202,5.55040908923032)); #8905=CARTESIAN_POINT('',(20.3152004884118,-6.24193967614062,5.80064716199912)); #8906=CARTESIAN_POINT('',(20.501683018511,-6.20637096195759,6.10057549607279)); #8907=CARTESIAN_POINT('',(20.7056064229421,-6.25750121197312,6.33667928354621)); #8908=CARTESIAN_POINT('',(20.7056064229431,-6.25750121197372,6.33667928354531)); #8909=CARTESIAN_POINT('',(20.8,-6.19980566877323,6.52398725239768)); #8910=CARTESIAN_POINT('',(20.8,-6.25605701352353,6.47053107712221)); #8911=CARTESIAN_POINT('',(20.7553728206466,-6.26997927792402,6.39429912224788)); #8912=CARTESIAN_POINT('',(20.7056064229431,-6.25750121197372,6.33667928354531)); #8913=CARTESIAN_POINT('',(20.8,-6.19980566877322,6.52398725239768)); #8914=CARTESIAN_POINT('',(20.8,0.,0.)); #8915=CARTESIAN_POINT('',(20.8,-4.28763028367946,7.91304154863822)); #8916=CARTESIAN_POINT('',(20.7048457198656,-4.09088489155793,7.90954727520048)); #8917=CARTESIAN_POINT('',(20.7549980884232,-4.14194047897445,7.93960418807144)); #8918=CARTESIAN_POINT('',(20.7999999873575,-4.21874436457738,7.95036692311816)); #8919=CARTESIAN_POINT('',(20.8,-4.28763028367946,7.91304154863822)); #8920=CARTESIAN_POINT('',(20.7048457198656,-4.09088489155793,7.90954727520048)); #8921=CARTESIAN_POINT('',(20.7048457198656,-4.09088489155793,7.90954727520048)); #8922=CARTESIAN_POINT('',(20.5109642908777,-3.89351166260708,7.79335186972473)); #8923=CARTESIAN_POINT('',(20.3327653739099,-3.6213461685756,7.73195063675809)); #8924=CARTESIAN_POINT('',(20.2999999999999,-3.33388022546182,7.81890290528492)); #8925=CARTESIAN_POINT('',(41.25,0.,0.)); #8926=CARTESIAN_POINT('',(20.3,1.18240329253364,8.92199094674533)); #8927=CARTESIAN_POINT('',(40.2500000000003,1.18240329253364,8.92199094674533)); #8928=CARTESIAN_POINT('',(20.3,-1.18104465475623,8.92217089745941)); #8929=CARTESIAN_POINT('',(40.2500000000003,-1.18104465475623,8.92217089745941)); #8930=CARTESIAN_POINT('',(40.25,0.,0.)); #8931=CARTESIAN_POINT('',(41.25,0.,0.)); #8932=CARTESIAN_POINT('',(20.3,4.28883527514626,7.91238851312681)); #8933=CARTESIAN_POINT('',(40.25,4.28883527514626,7.91238851312681)); #8934=CARTESIAN_POINT('',(40.25,0.,0.)); #8935=CARTESIAN_POINT('',(40.25,6.20079905744847,6.52304308196306)); #8936=CARTESIAN_POINT('',(20.3,6.20079905744847,6.52304308196306)); #8937=CARTESIAN_POINT('',(41.25,0.,0.)); #8938=CARTESIAN_POINT('',(20.3,8.12052590209239,3.88034264897401)); #8939=CARTESIAN_POINT('',(40.2500000000002,8.12052590209239,3.88034264897401)); #8940=CARTESIAN_POINT('',(40.25,0.,0.)); #8941=CARTESIAN_POINT('',(40.25,8.85070034954516,1.63251441725985)); #8942=CARTESIAN_POINT('',(20.3,8.85070034954516,1.63251441725985)); #8943=CARTESIAN_POINT('',(41.25,0.,0.)); #8944=CARTESIAN_POINT('',(20.3,8.85045164096312,-1.63386221909106)); #8945=CARTESIAN_POINT('',(40.25,8.85045164096312,-1.63386221909106)); #8946=CARTESIAN_POINT('',(40.25,0.,0.)); #8947=CARTESIAN_POINT('',(40.25,8.1199348935225,-3.88157923079715)); #8948=CARTESIAN_POINT('',(20.3,8.1199348935225,-3.88157923079715)); #8949=CARTESIAN_POINT('',(41.25,0.,0.)); #8950=CARTESIAN_POINT('',(20.3,6.19980566877323,-6.52398725239768)); #8951=CARTESIAN_POINT('',(40.2499999999998,6.19980566877323,-6.52398725239768)); #8952=CARTESIAN_POINT('',(40.25,0.,0.)); #8953=CARTESIAN_POINT('',(40.25,4.28763028367946,-7.91304154863822)); #8954=CARTESIAN_POINT('',(20.3,4.28763028367946,-7.91304154863822)); #8955=CARTESIAN_POINT('',(41.25,0.,0.)); #8956=CARTESIAN_POINT('',(20.3,1.18104465475623,-8.92217089745942)); #8957=CARTESIAN_POINT('',(40.2500000000001,1.18104465475623,-8.92217089745942)); #8958=CARTESIAN_POINT('',(40.25,0.,0.)); #8959=CARTESIAN_POINT('',(40.25,-1.18240335797378,-8.92199093807275)); #8960=CARTESIAN_POINT('',(20.3,-1.18240335797378,-8.92199093807275)); #8961=CARTESIAN_POINT('',(41.25,0.,0.)); #8962=CARTESIAN_POINT('',(20.3,-4.28883527514627,-7.91238851312681)); #8963=CARTESIAN_POINT('',(40.2499999999999,-4.28883527514627,-7.91238851312681)); #8964=CARTESIAN_POINT('',(40.25,0.,0.)); #8965=CARTESIAN_POINT('',(40.25,-6.20079910529305,-6.52304303648205)); #8966=CARTESIAN_POINT('',(20.3,-6.20079910529305,-6.52304303648205)); #8967=CARTESIAN_POINT('',(41.25,0.,0.)); #8968=CARTESIAN_POINT('',(20.3,-8.12052590209239,-3.88034264897401)); #8969=CARTESIAN_POINT('',(40.25,-8.12052590209239,-3.88034264897401)); #8970=CARTESIAN_POINT('',(40.25,0.,0.)); #8971=CARTESIAN_POINT('',(40.25,-8.85070035180031,-1.63251440503352)); #8972=CARTESIAN_POINT('',(20.3,-8.85070035180031,-1.63251440503352)); #8973=CARTESIAN_POINT('',(41.25,0.,0.)); #8974=CARTESIAN_POINT('',(20.3,-8.85045164096312,1.63386221909107)); #8975=CARTESIAN_POINT('',(40.25,-8.85045164096312,1.63386221909107)); #8976=CARTESIAN_POINT('',(40.25,0.,0.)); #8977=CARTESIAN_POINT('',(40.25,-8.1199348881605,3.881579242014)); #8978=CARTESIAN_POINT('',(20.3,-8.1199348881605,3.881579242014)); #8979=CARTESIAN_POINT('',(41.25,0.,0.)); #8980=CARTESIAN_POINT('',(20.3,-6.19980566877322,6.52398725239768)); #8981=CARTESIAN_POINT('',(40.2499999999998,-6.19980566877322,6.52398725239768)); #8982=CARTESIAN_POINT('',(40.25,0.,0.)); #8983=CARTESIAN_POINT('',(40.25,-4.28763028367946,7.91304154863822)); #8984=CARTESIAN_POINT('',(20.3,-4.28763028367946,7.91304154863822)); #8985=CARTESIAN_POINT('',(40.25,0.,0.)); #8986=CARTESIAN_POINT('',(41.25,0.,0.)); #8987=CARTESIAN_POINT('',(41.25,0.,-8.)); #8988=CARTESIAN_POINT('',(40.25,1.18240329253368,8.92199094674532)); #8989=CARTESIAN_POINT('',(40.2499999874128,1.25973253059703,8.91174275965836)); #8990=CARTESIAN_POINT('',(40.2950523979047,1.31590580883768,8.85779155814711)); #8991=CARTESIAN_POINT('',(40.3451542801344,1.33951984137088,8.80351997153309)); #8992=CARTESIAN_POINT('',(40.3451542801344,1.33951984137088,8.8035199715331)); #8993=CARTESIAN_POINT('',(40.3443935631678,-1.33781818479865,8.8045481719489)); #8994=CARTESIAN_POINT('',(40.2945751657404,-1.31402021513784,8.85855439556081)); #8995=CARTESIAN_POINT('',(40.25,-1.25832572671688,8.91194105564155)); #8996=CARTESIAN_POINT('',(40.25,-1.18104465475623,8.92217089745941)); #8997=CARTESIAN_POINT('',(40.3443935631678,-1.33781818479866,8.8045481719489)); #8998=CARTESIAN_POINT('',(40.3443935631678,-1.33781818479865,8.8045481719489)); #8999=CARTESIAN_POINT('',(40.4550452817704,-1.39067589644907,8.68459486546904)); #9000=CARTESIAN_POINT('',(40.6606610796606,-1.54791798076921,8.45196427996548)); #9001=CARTESIAN_POINT('',(40.758259820101,-1.85579822084084,8.28826834845196)); #9002=CARTESIAN_POINT('',(40.75,-2.02521878241128,8.25520980250463)); #9003=CARTESIAN_POINT('',(40.75,-2.02521878241128,8.25520980250463)); #9004=CARTESIAN_POINT('',(40.7500000000003,0.,0.)); #9005=CARTESIAN_POINT('',(40.7500000000003,-3.16148643325961,7.89018399863498)); #9006=CARTESIAN_POINT('',(40.75,-3.1614864332596,7.89018399863498)); #9007=CARTESIAN_POINT('',(40.7697942604808,-3.32153717061757,7.8047297875553)); #9008=CARTESIAN_POINT('',(40.6811827241724,-3.68948641187616,7.73808593790881)); #9009=CARTESIAN_POINT('',(40.4623354634166,-3.97159327792881,7.83931922305191)); #9010=CARTESIAN_POINT('',(40.3451542801344,-4.09088489155793,7.90954727520048)); #9011=CARTESIAN_POINT('',(40.3451542801344,-4.09088489155793,7.90954727520048)); #9012=CARTESIAN_POINT('',(40.25,-4.28763028367946,7.91304154863822)); #9013=CARTESIAN_POINT('',(40.2500000125868,-4.21904810644161,7.95020234262079)); #9014=CARTESIAN_POINT('',(40.2950526002419,-4.14188887743204,7.93957380974964)); #9015=CARTESIAN_POINT('',(40.3451542801344,-4.09088489155793,7.90954727520048)); #9016=CARTESIAN_POINT('',(40.3443935770569,-6.25750121197372,6.33667928354532)); #9017=CARTESIAN_POINT('',(40.2945746227302,-6.26999245559091,6.39435997262675)); #9018=CARTESIAN_POINT('',(40.25,-6.25631355567373,6.47028728273458)); #9019=CARTESIAN_POINT('',(40.25,-6.19980566877322,6.52398725239768)); #9020=CARTESIAN_POINT('',(40.3443935770569,-6.25750121197372,6.33667928354532)); #9021=CARTESIAN_POINT('',(40.3443935770569,-6.25750121197372,6.33667928354532)); #9022=CARTESIAN_POINT('',(40.4550455580893,-6.22975715056662,6.20856573088036)); #9023=CARTESIAN_POINT('',(40.6606613719994,-6.22023154299595,5.92793940277551)); #9024=CARTESIAN_POINT('',(40.7582597392693,-6.37309535222332,5.61453788613618)); #9025=CARTESIAN_POINT('',(40.75,-6.49072698879055,5.48821128938983)); #9026=CARTESIAN_POINT('',(40.75,-6.49072698879055,5.48821128938983)); #9027=CARTESIAN_POINT('',(40.7500000000003,0.,0.)); #9028=CARTESIAN_POINT('',(40.7500000000003,-7.19543004426456,4.52501784284824)); #9029=CARTESIAN_POINT('',(40.75,-7.19543004426456,4.52501784284825)); #9030=CARTESIAN_POINT('',(40.769794274358,-7.27468514129397,4.36180835638478)); #9031=CARTESIAN_POINT('',(40.6811827777812,-7.53318977180121,4.09161761990771)); #9032=CARTESIAN_POINT('',(40.4623358020871,-7.82092228547556,4.00769855774713)); #9033=CARTESIAN_POINT('',(40.3451542822911,-7.95871063743912,3.99439635561022)); #9034=CARTESIAN_POINT('',(40.3451542822911,-7.95871063743913,3.99439635561022)); #9035=CARTESIAN_POINT('',(40.25,-8.1199348881605,3.881579242014)); #9036=CARTESIAN_POINT('',(40.2500000125869,-8.08629309607097,3.95195499141241)); #9037=CARTESIAN_POINT('',(40.2950520989616,-8.01762347455199,3.98870888528435)); #9038=CARTESIAN_POINT('',(40.3451542822911,-7.95871063743913,3.99439635561022)); #9039=CARTESIAN_POINT('',(40.3443935782327,-8.78703145314513,1.44841429876339)); #9040=CARTESIAN_POINT('',(40.2945770868544,-8.83103876423782,1.48773484319382)); #9041=CARTESIAN_POINT('',(40.25,-8.86460361473458,1.55720253627026)); #9042=CARTESIAN_POINT('',(40.25,-8.85045164096312,1.63386221909107)); #9043=CARTESIAN_POINT('',(40.3443935782326,-8.78703145314513,1.44841429876339)); #9044=CARTESIAN_POINT('',(40.3443935782326,-8.78703145314513,1.44841429876339)); #9045=CARTESIAN_POINT('',(40.455044574444,-8.68928364910983,1.36107658467931)); #9046=CARTESIAN_POINT('',(40.6606610324997,-8.51662866831031,1.13964349474259)); #9047=CARTESIAN_POINT('',(40.7582598268776,-8.45608479281084,0.796246923327806)); #9048=CARTESIAN_POINT('',(40.75,-8.47699809714808,0.624902601169)); #9049=CARTESIAN_POINT('',(40.75,-8.47699809714808,0.624902601169001)); #9050=CARTESIAN_POINT('',(40.7500000000008,0.,0.)); #9051=CARTESIAN_POINT('',(40.7500000000008,-8.4809639420326,-0.568551329206792)); #9052=CARTESIAN_POINT('',(40.75,-8.48096394203261,-0.56855132920677)); #9053=CARTESIAN_POINT('',(40.7697943988764,-8.44915033311639,-0.747176678260832)); #9054=CARTESIAN_POINT('',(40.6811825644665,-8.49947121208526,-1.11770981930308)); #9055=CARTESIAN_POINT('',(40.4623350556974,-8.68292580874076,-1.3547271215925)); #9056=CARTESIAN_POINT('',(40.3451542822911,-8.78657942863942,-1.44647820599192)); #9057=CARTESIAN_POINT('',(40.3451542822911,-8.78657942863942,-1.44647820599192)); #9058=CARTESIAN_POINT('',(40.25,-8.85070035180031,-1.63251440503352)); #9059=CARTESIAN_POINT('',(40.2500000125872,-8.86484976432478,-1.55580309191627)); #9060=CARTESIAN_POINT('',(40.2950532115566,-8.83089691971118,-1.48570669181882)); #9061=CARTESIAN_POINT('',(40.3451542822911,-8.78657942863941,-1.44647820599192)); #9062=CARTESIAN_POINT('',(40.344393579461,-7.96021433827648,-3.99309571714012)); #9063=CARTESIAN_POINT('',(40.2945744944812,-8.01893209360067,-3.98715126790509)); #9064=CARTESIAN_POINT('',(40.25,-8.08691569548576,-3.9506798789596)); #9065=CARTESIAN_POINT('',(40.25,-8.12052590209239,-3.88034264897401)); #9066=CARTESIAN_POINT('',(40.344393579461,-7.96021433827648,-3.99309571714012)); #9067=CARTESIAN_POINT('',(40.344393579461,-7.96021433827648,-3.99309571714012)); #9068=CARTESIAN_POINT('',(40.4550455708906,-7.82979771024373,-4.00629881339177)); #9069=CARTESIAN_POINT('',(40.6606611991159,-7.55996264397999,-4.0839580407753)); #9070=CARTESIAN_POINT('',(40.7582597898275,-7.30913812610895,-4.32618531758883)); #9071=CARTESIAN_POINT('',(40.75,-7.22534405496323,-4.47709764104017)); #9072=CARTESIAN_POINT('',(40.75,-7.22534405496323,-4.47709764104017)); #9073=CARTESIAN_POINT('',(40.7500000000004,0.,0.)); #9074=CARTESIAN_POINT('',(40.7500000000004,-6.52705787130648,-5.44495321785377)); #9075=CARTESIAN_POINT('',(40.75,-6.52705787130649,-5.44495321785376)); #9076=CARTESIAN_POINT('',(40.7697939217101,-6.39632992660204,-5.57076155789624)); #9077=CARTESIAN_POINT('',(40.6811829695157,-6.2192420907141,-5.90011094735644)); #9078=CARTESIAN_POINT('',(40.4623357461625,-6.22834572501088,-6.19969222134597)); #9079=CARTESIAN_POINT('',(40.3451541716248,-6.2582735512139,-6.33484738453163)); #9080=CARTESIAN_POINT('',(40.3451541716248,-6.2582735512139,-6.33484738453164)); #9081=CARTESIAN_POINT('',(40.25,-6.20079910529305,-6.52304303648205)); #9082=CARTESIAN_POINT('',(40.250000012586,-6.25733060624373,-6.46930422289008)); #9083=CARTESIAN_POINT('',(40.2950520111195,-6.27106947494901,-6.39263435265782)); #9084=CARTESIAN_POINT('',(40.3451541716248,-6.2582735512139,-6.33484738453164)); #9085=CARTESIAN_POINT('',(40.344393573637,-4.09286591096741,-7.9093788919307)); #9086=CARTESIAN_POINT('',(40.2945756694829,-4.14386242585603,-7.93908245692955)); #9087=CARTESIAN_POINT('',(40.25,-4.22030103963918,-7.94953684656751)); #9088=CARTESIAN_POINT('',(40.25,-4.28883527514627,-7.91238851312681)); #9089=CARTESIAN_POINT('',(40.344393573637,-4.0928659109674,-7.9093788919307)); #9090=CARTESIAN_POINT('',(40.344393573637,-4.0928659109674,-7.9093788919307)); #9091=CARTESIAN_POINT('',(40.4550451188406,-3.97959651509307,-7.8434037161234)); #9092=CARTESIAN_POINT('',(40.6606611386439,-3.71564815711249,-7.74762597507851)); #9093=CARTESIAN_POINT('',(40.758259801863,-3.37034925792487,-7.79616093410693)); #9094=CARTESIAN_POINT('',(40.75,-3.21385416419442,-7.86899875532397)); #9095=CARTESIAN_POINT('',(40.75,-3.21385416419442,-7.86899875532397)); #9096=CARTESIAN_POINT('',(40.749999999999,0.,0.)); #9097=CARTESIAN_POINT('',(40.749999999999,-2.08003754027883,-8.2415680444337)); #9098=CARTESIAN_POINT('',(40.75,-2.0800375402788,-8.24156804443371)); #9099=CARTESIAN_POINT('',(40.7697943932328,-1.90032384351656,-8.26650976573974)); #9100=CARTESIAN_POINT('',(40.6811826336618,-1.56347618551094,-8.42886867060146)); #9101=CARTESIAN_POINT('',(40.462335503614,-1.39474976715984,-8.67658639311601)); #9102=CARTESIAN_POINT('',(40.3451541694682,-1.33951978921158,-8.8035200914095)); #9103=CARTESIAN_POINT('',(40.3451541694682,-1.33951978921158,-8.8035200914095)); #9104=CARTESIAN_POINT('',(40.25,-1.18240335797378,-8.92199093807275)); #9105=CARTESIAN_POINT('',(40.2500000125872,-1.25973251337016,-8.91174273596972)); #9106=CARTESIAN_POINT('',(40.2950523502899,-1.31590574132916,-8.85779161633281)); #9107=CARTESIAN_POINT('',(40.3451541694682,-1.33951978921158,-8.8035200914095)); #9108=CARTESIAN_POINT('',(40.3443935749087,1.3378181904072,-8.80454815922107)); #9109=CARTESIAN_POINT('',(40.2945751723136,1.31402022307212,-8.85855438773332)); #9110=CARTESIAN_POINT('',(40.25,1.25832573274292,-8.91194105484387)); #9111=CARTESIAN_POINT('',(40.25,1.18104465475623,-8.92217089745942)); #9112=CARTESIAN_POINT('',(40.3443935749087,1.3378181904072,-8.80454815922107)); #9113=CARTESIAN_POINT('',(40.3443935749087,1.3378181904072,-8.80454815922107)); #9114=CARTESIAN_POINT('',(40.4550452909153,1.39067590309335,-8.68459485515012)); #9115=CARTESIAN_POINT('',(40.6606610834069,1.54791798830897,-8.45196427471506)); #9116=CARTESIAN_POINT('',(40.7582598199537,1.85579822386201,-8.28826834786245)); #9117=CARTESIAN_POINT('',(40.75,2.02521878241128,-8.25520980250463)); #9118=CARTESIAN_POINT('',(40.75,2.02521878241128,-8.25520980250463)); #9119=CARTESIAN_POINT('',(40.7499999999997,0.,0.)); #9120=CARTESIAN_POINT('',(40.7499999999997,3.16148643325962,-7.89018399863497)); #9121=CARTESIAN_POINT('',(40.75,3.16148643325962,-7.89018399863497)); #9122=CARTESIAN_POINT('',(40.7697942635764,3.32153719564789,-7.80472977419113)); #9123=CARTESIAN_POINT('',(40.6811826914009,3.68948649831184,-7.73808593371036)); #9124=CARTESIAN_POINT('',(40.4623353791562,3.97159338388061,-7.83931926375196)); #9125=CARTESIAN_POINT('',(40.3451541716248,4.09088500202177,-7.90954734023155)); #9126=CARTESIAN_POINT('',(40.3451541716248,4.09088500202177,-7.90954734023155)); #9127=CARTESIAN_POINT('',(40.25,4.28763028367946,-7.91304154863822)); #9128=CARTESIAN_POINT('',(40.2500000125868,4.21904815630922,-7.95020231560036)); #9129=CARTESIAN_POINT('',(40.2950525395858,4.14188897873809,-7.93957382537028)); #9130=CARTESIAN_POINT('',(40.3451541716248,4.09088500202178,-7.90954734023156)); #9131=CARTESIAN_POINT('',(40.344393579461,6.25750121137094,-6.33667928076185)); #9132=CARTESIAN_POINT('',(40.2945746240762,6.26999245596318,-6.39435997037504)); #9133=CARTESIAN_POINT('',(40.25,6.25631355657573,-6.47028728187741)); #9134=CARTESIAN_POINT('',(40.25,6.19980566877322,-6.52398725239768)); #9135=CARTESIAN_POINT('',(40.344393579461,6.25750121137094,-6.33667928076185)); #9136=CARTESIAN_POINT('',(40.344393579461,6.25750121137094,-6.33667928076185)); #9137=CARTESIAN_POINT('',(40.4550455599619,6.22975715042534,-6.20856572837128)); #9138=CARTESIAN_POINT('',(40.6606613727665,6.22023154361305,-5.92793940099829)); #9139=CARTESIAN_POINT('',(40.7582597392391,6.37309535265283,-5.61453788567492)); #9140=CARTESIAN_POINT('',(40.75,6.49072698879055,-5.48821128938983)); #9141=CARTESIAN_POINT('',(40.75,6.49072698879055,-5.48821128938983)); #9142=CARTESIAN_POINT('',(40.7500000000009,0.,0.)); #9143=CARTESIAN_POINT('',(40.7500000000009,7.19543004426456,-4.52501784284824)); #9144=CARTESIAN_POINT('',(40.75,7.19543004426455,-4.52501784284826)); #9145=CARTESIAN_POINT('',(40.7697942745056,7.27468514188488,-4.36180835516792)); #9146=CARTESIAN_POINT('',(40.6811827762188,7.53318977501735,-4.09161761732363)); #9147=CARTESIAN_POINT('',(40.46233579807,7.82092229070263,-4.00769855634787)); #9148=CARTESIAN_POINT('',(40.3451542771179,7.95871064352206,-3.99439635502297)); #9149=CARTESIAN_POINT('',(40.3451542771179,7.95871064352206,-3.99439635502297)); #9150=CARTESIAN_POINT('',(40.25,8.1199348935225,-3.88157923079715)); #9151=CARTESIAN_POINT('',(40.2500000078457,8.08629310517596,-3.95195498360843)); #9152=CARTESIAN_POINT('',(40.2950520933872,8.01762348205146,-3.98870888274342)); #9153=CARTESIAN_POINT('',(40.3451542771179,7.95871064352207,-3.99439635502297)); #9154=CARTESIAN_POINT('',(40.3443935587206,8.78703147038183,-1.44841431416439)); #9155=CARTESIAN_POINT('',(40.2945770759311,8.83103877253432,-1.48773485975331)); #9156=CARTESIAN_POINT('',(40.25,8.86460361290062,-1.5572025462046)); #9157=CARTESIAN_POINT('',(40.25,8.85045164096312,-1.63386221909106)); #9158=CARTESIAN_POINT('',(40.3443935587206,8.78703147038183,-1.44841431416439)); #9159=CARTESIAN_POINT('',(40.3443935587206,8.78703147038183,-1.44841431416439)); #9160=CARTESIAN_POINT('',(40.4550445592463,8.6892836620072,-1.36107660048019)); #9161=CARTESIAN_POINT('',(40.6606610262738,8.5166286727368,-1.13964350935586)); #9162=CARTESIAN_POINT('',(40.7582598271224,8.45608479219107,-0.796246928405682)); #9163=CARTESIAN_POINT('',(40.75,8.47699809714808,-0.624902601168999)); #9164=CARTESIAN_POINT('',(40.75,8.47699809714808,-0.624902601168999)); #9165=CARTESIAN_POINT('',(40.7500000000007,0.,0.)); #9166=CARTESIAN_POINT('',(40.7500000000007,8.4809639420326,0.568551329206797)); #9167=CARTESIAN_POINT('',(40.75,8.48096394203261,0.56855132920678)); #9168=CARTESIAN_POINT('',(40.769794398938,8.4491503330175,0.747176678816057)); #9169=CARTESIAN_POINT('',(40.6811825638152,8.49947121253678,1.11770982096271)); #9170=CARTESIAN_POINT('',(40.4623350540228,8.68292581016082,1.35472712334527)); #9171=CARTESIAN_POINT('',(40.3451542801344,8.78657943054711,1.44647820768055)); #9172=CARTESIAN_POINT('',(40.3451542801344,8.78657943054711,1.44647820768056)); #9173=CARTESIAN_POINT('',(40.25,8.85070034954516,1.63251441725985)); #9174=CARTESIAN_POINT('',(40.2500000078459,8.86484976738979,1.55580310203178)); #9175=CARTESIAN_POINT('',(40.2950532076688,8.83089692300121,1.48570669573792)); #9176=CARTESIAN_POINT('',(40.3451542801344,8.78657943054711,1.44647820768056)); #9177=CARTESIAN_POINT('',(40.3443935507033,7.96021437217088,3.99309571370872)); #9178=CARTESIAN_POINT('',(40.2945744783803,8.01893211784085,3.98715125534668)); #9179=CARTESIAN_POINT('',(40.25,8.08691570190449,3.95067986552689)); #9180=CARTESIAN_POINT('',(40.25,8.12052590209239,3.88034264897401)); #9181=CARTESIAN_POINT('',(40.3443935507033,7.96021437217088,3.99309571370873)); #9182=CARTESIAN_POINT('',(40.3443935507033,7.96021437217088,3.99309571370872)); #9183=CARTESIAN_POINT('',(40.4550455484914,7.82979773931059,4.00629880572434)); #9184=CARTESIAN_POINT('',(40.66066118994,7.55996266191761,4.08395802718548)); #9185=CARTESIAN_POINT('',(40.7582597901883,7.30913812976887,4.32618531099735)); #9186=CARTESIAN_POINT('',(40.75,7.22534405496323,4.47709764104017)); #9187=CARTESIAN_POINT('',(40.75,7.22534405496323,4.47709764104017)); #9188=CARTESIAN_POINT('',(40.7500000000006,0.,0.)); #9189=CARTESIAN_POINT('',(40.7500000000006,6.52705787130648,5.44495321785376)); #9190=CARTESIAN_POINT('',(40.75,6.5270578713065,5.44495321785375)); #9191=CARTESIAN_POINT('',(40.7697939186145,6.39632994704669,5.57076153822097)); #9192=CARTESIAN_POINT('',(40.6811830022873,6.21924212141725,5.90011086644833)); #9193=CARTESIAN_POINT('',(40.4623358304226,6.22834571904388,6.19969210800316)); #9194=CARTESIAN_POINT('',(40.3451542801344,6.25827352350088,6.33484725937857)); #9195=CARTESIAN_POINT('',(40.3451542801344,6.25827352350088,6.33484725937857)); #9196=CARTESIAN_POINT('',(40.25,6.20079905744847,6.52304308196306)); #9197=CARTESIAN_POINT('',(40.249999987414,6.25733063328898,6.46930423270067)); #9198=CARTESIAN_POINT('',(40.2950520575283,6.27106949503725,6.39263426791526)); #9199=CARTESIAN_POINT('',(40.3451542801344,6.25827352350088,6.33484725937857)); #9200=CARTESIAN_POINT('',(40.3443935587206,4.09286592623668,7.90937890082448)); #9201=CARTESIAN_POINT('',(40.294575661131,4.1438624398571,7.93908245905034)); #9202=CARTESIAN_POINT('',(40.25,4.22030104642794,7.94953684288772)); #9203=CARTESIAN_POINT('',(40.25,4.28883527514626,7.91238851312681)); #9204=CARTESIAN_POINT('',(40.3443935587206,4.09286592623668,7.90937890082449)); #9205=CARTESIAN_POINT('',(40.3443935587206,4.09286592623668,7.90937890082448)); #9206=CARTESIAN_POINT('',(40.4550451072222,3.97959652962808,7.84340372176783)); #9207=CARTESIAN_POINT('',(40.6606611338844,3.71564816878291,7.74762597484462)); #9208=CARTESIAN_POINT('',(40.7582598020502,3.37034926147031,7.79616093245677)); #9209=CARTESIAN_POINT('',(40.75,3.21385416419442,7.86899875532397)); #9210=CARTESIAN_POINT('',(40.75,3.21385416419442,7.86899875532397)); #9211=CARTESIAN_POINT('',(40.7500000000005,0.,0.)); #9212=CARTESIAN_POINT('',(40.7500000000005,2.08003754027883,8.2415680444337)); #9213=CARTESIAN_POINT('',(40.75,2.08003754027884,8.2415680444337)); #9214=CARTESIAN_POINT('',(40.7697943900757,1.90032387218033,8.26650976176161)); #9215=CARTESIAN_POINT('',(40.6811826670844,1.56347625934492,8.42886862225057)); #9216=CARTESIAN_POINT('',(40.4623355895487,1.39474983018176,8.67658629602033)); #9217=CARTESIAN_POINT('',(40.3451542801344,1.33951984137088,8.8035199715331)); #9218=CARTESIAN_POINT('',(18.5,11.5,0.)); #9219=CARTESIAN_POINT('',(18.5,0.,0.)); #9220=CARTESIAN_POINT('',(18.5,0.,-9.3)); #9221=CARTESIAN_POINT('',(18.5,4.95108775627332,10.157594697057)); #9222=CARTESIAN_POINT('',(18.5,5.12928906111099,10.0667965971091)); #9223=CARTESIAN_POINT('',(18.5,5.03871764447084,10.3373751261768)); #9224=CARTESIAN_POINT('',(18.5,0.,0.)); #9225=CARTESIAN_POINT('',(18.5,1.99974862633896,11.3247960437023)); #9226=CARTESIAN_POINT('',(18.5,1.96497022622751,11.1278430978353)); #9227=CARTESIAN_POINT('',(18.5,1.76743255810846,11.1591299908433)); #9228=CARTESIAN_POINT('',(18.5,1.76743255810846,11.1591299908433)); #9229=CARTESIAN_POINT('',(18.5,1.75178663891864,11.0603455448601)); #9230=CARTESIAN_POINT('',(18.5,1.55424897079961,11.0916324378681)); #9231=CARTESIAN_POINT('',(18.5,1.52649451159072,10.8935675747701)); #9232=CARTESIAN_POINT('',(18.5,5.96311194867027E-15,-1.07552855510562E-13)); #9233=CARTESIAN_POINT('',(18.5,-1.52649452489247,10.8935675729062)); #9234=CARTESIAN_POINT('',(18.5,-1.55424897079961,11.0916324378681)); #9235=CARTESIAN_POINT('',(18.5,-1.75178663891864,11.0603455448601)); #9236=CARTESIAN_POINT('',(18.5,-1.75178663891864,11.0603455448601)); #9237=CARTESIAN_POINT('',(18.5,-1.76743255810846,11.1591299908433)); #9238=CARTESIAN_POINT('',(18.5,-1.96497022622749,11.1278430978352)); #9239=CARTESIAN_POINT('',(18.5,-1.99974846032001,11.3247960730182)); #9240=CARTESIAN_POINT('',(18.5,0.,0.)); #9241=CARTESIAN_POINT('',(18.5,-5.03871747652219,10.3373752080395)); #9242=CARTESIAN_POINT('',(18.5,-4.95108775627335,10.1575946970571)); #9243=CARTESIAN_POINT('',(18.5,-5.129289061111,10.0667965971091)); #9244=CARTESIAN_POINT('',(18.5,-5.12928906111099,10.0667965971091)); #9245=CARTESIAN_POINT('',(18.5,-5.08388283512336,9.97768186097041)); #9246=CARTESIAN_POINT('',(18.5,-5.26208413996104,9.88688376102251)); #9247=CARTESIAN_POINT('',(18.5,-5.1681183636044,9.71033225897935)); #9248=CARTESIAN_POINT('',(18.5,-5.98479599211998E-14,5.3776427755281E-14)); #9249=CARTESIAN_POINT('',(18.5,-7.6380383766641,7.91583032641596)); #9250=CARTESIAN_POINT('',(18.5,-7.77691180169436,8.05975451416898)); #9251=CARTESIAN_POINT('',(18.5,-7.91833315793166,7.91833315793166)); #9252=CARTESIAN_POINT('',(18.5,-7.91833315793166,7.91833315793166)); #9253=CARTESIAN_POINT('',(18.5,-7.98905501295366,7.98905501295366)); #9254=CARTESIAN_POINT('',(18.5,-8.13047636919097,7.84763365671635)); #9255=CARTESIAN_POINT('',(18.5,-8.27437859313946,7.98652984076285)); #9256=CARTESIAN_POINT('',(18.5,0.,0.)); #9257=CARTESIAN_POINT('',(18.5,-10.15256476306,5.40142839736613)); #9258=CARTESIAN_POINT('',(18.5,-9.97599849716125,5.3074903659487)); #9259=CARTESIAN_POINT('',(18.5,-10.0667965971091,5.129289061111)); #9260=CARTESIAN_POINT('',(18.5,-10.0667965971091,5.129289061111)); #9261=CARTESIAN_POINT('',(18.5,-9.97768186097041,5.08388283512336)); #9262=CARTESIAN_POINT('',(18.5,-10.0684799609183,4.90568153028568)); #9263=CARTESIAN_POINT('',(18.5,-9.88868568178512,4.81808006231299)); #9264=CARTESIAN_POINT('',(18.5,-5.3776427755281E-14,-3.46944695195361E-15)); #9265=CARTESIAN_POINT('',(18.5,-10.8321111759261,1.914514944412)); #9266=CARTESIAN_POINT('',(18.5,-11.029058651852,1.94932430703767)); #9267=CARTESIAN_POINT('',(18.5,-11.0603455448601,1.75178663891864)); #9268=CARTESIAN_POINT('',(18.5,-11.0603455448601,1.75178663891864)); #9269=CARTESIAN_POINT('',(18.5,-11.1591299908433,1.76743255810846)); #9270=CARTESIAN_POINT('',(18.5,-11.1904168838513,1.56989488998944)); #9271=CARTESIAN_POINT('',(18.5,-11.3884773571362,1.59768065832787)); #9272=CARTESIAN_POINT('',(18.5,0.,0.)); #9273=CARTESIAN_POINT('',(18.5,-11.3884773830934,-1.59768047330222)); #9274=CARTESIAN_POINT('',(18.5,-11.1904168838514,-1.56989488998944)); #9275=CARTESIAN_POINT('',(18.5,-11.1591299908433,-1.76743255810846)); #9276=CARTESIAN_POINT('',(18.5,-11.1591299908433,-1.76743255810846)); #9277=CARTESIAN_POINT('',(18.5,-11.0603455448601,-1.75178663891864)); #9278=CARTESIAN_POINT('',(18.5,-11.029058651852,-1.94932430703767)); #9279=CARTESIAN_POINT('',(18.5,-10.8321111735884,-1.91451495763872)); #9280=CARTESIAN_POINT('',(18.5,-1.66533453693773E-13,-6.76542155630955E-14)); #9281=CARTESIAN_POINT('',(18.5,-9.88868567590193,-4.81808007438773)); #9282=CARTESIAN_POINT('',(18.5,-10.0684799609183,-4.90568153028569)); #9283=CARTESIAN_POINT('',(18.5,-9.97768186097042,-5.08388283512336)); #9284=CARTESIAN_POINT('',(18.5,-9.97768186097042,-5.08388283512336)); #9285=CARTESIAN_POINT('',(18.5,-10.0667965971091,-5.12928906111099)); #9286=CARTESIAN_POINT('',(18.5,-9.97599849716118,-5.30749036594867)); #9287=CARTESIAN_POINT('',(18.5,-10.1525648508156,-5.40142823242004)); #9288=CARTESIAN_POINT('',(18.5,0.,0.)); #9289=CARTESIAN_POINT('',(18.5,-8.27437872289454,-7.98652970633116)); #9290=CARTESIAN_POINT('',(18.5,-8.13047636919102,-7.8476336567164)); #9291=CARTESIAN_POINT('',(18.5,-7.98905501295366,-7.98905501295366)); #9292=CARTESIAN_POINT('',(18.5,-7.98905501295366,-7.98905501295366)); #9293=CARTESIAN_POINT('',(18.5,-7.91833315793166,-7.91833315793166)); #9294=CARTESIAN_POINT('',(18.5,-7.77691180169435,-8.05975451416897)); #9295=CARTESIAN_POINT('',(18.5,-7.63803836699835,-7.9158303357425)); #9296=CARTESIAN_POINT('',(18.5,-6.41847686111419E-14,-8.32667268468867E-14)); #9297=CARTESIAN_POINT('',(18.5,-5.16811835174744,-9.71033226528996)); #9298=CARTESIAN_POINT('',(18.5,-5.26208413996103,-9.8868837610225)); #9299=CARTESIAN_POINT('',(18.5,-5.08388283512336,-9.97768186097042)); #9300=CARTESIAN_POINT('',(18.5,-5.08388283512336,-9.97768186097042)); #9301=CARTESIAN_POINT('',(18.5,-5.12928906111099,-10.0667965971091)); #9302=CARTESIAN_POINT('',(18.5,-4.95108775627332,-10.157594697057)); #9303=CARTESIAN_POINT('',(18.5,-5.03871764447084,-10.3373751261768)); #9304=CARTESIAN_POINT('',(18.5,0.,0.)); #9305=CARTESIAN_POINT('',(18.5,-1.99974829430107,-11.324796102334)); #9306=CARTESIAN_POINT('',(18.5,-1.9649702262275,-11.1278430978353)); #9307=CARTESIAN_POINT('',(18.5,-1.76743255810846,-11.1591299908433)); #9308=CARTESIAN_POINT('',(18.5,-1.76743255810846,-11.1591299908433)); #9309=CARTESIAN_POINT('',(18.5,-1.75178663891864,-11.0603455448601)); #9310=CARTESIAN_POINT('',(18.5,-1.55424897079961,-11.0916324378681)); #9311=CARTESIAN_POINT('',(18.5,-1.52649451159071,-10.8935675747701)); #9312=CARTESIAN_POINT('',(18.5,-6.17995238316738E-15,9.71445146547012E-14)); #9313=CARTESIAN_POINT('',(18.5,1.52649452489248,-10.8935675729062)); #9314=CARTESIAN_POINT('',(18.5,1.55424897079961,-11.0916324378681)); #9315=CARTESIAN_POINT('',(18.5,1.75178663891864,-11.0603455448601)); #9316=CARTESIAN_POINT('',(18.5,1.75178663891864,-11.0603455448601)); #9317=CARTESIAN_POINT('',(18.5,1.76743255810846,-11.1591299908433)); #9318=CARTESIAN_POINT('',(18.5,1.96497022622749,-11.1278430978352)); #9319=CARTESIAN_POINT('',(18.5,1.99974842761033,-11.3247960787941)); #9320=CARTESIAN_POINT('',(18.5,0.,0.)); #9321=CARTESIAN_POINT('',(18.5,5.03871777960934,-10.3373750603066)); #9322=CARTESIAN_POINT('',(18.5,4.95108775627335,-10.1575946970571)); #9323=CARTESIAN_POINT('',(18.5,5.129289061111,-10.0667965971091)); #9324=CARTESIAN_POINT('',(18.5,5.12928906111099,-10.0667965971091)); #9325=CARTESIAN_POINT('',(18.5,5.08388283512336,-9.97768186097041)); #9326=CARTESIAN_POINT('',(18.5,5.26208413996104,-9.88688376102251)); #9327=CARTESIAN_POINT('',(18.5,5.1681183636044,-9.71033225897935)); #9328=CARTESIAN_POINT('',(18.5,2.25514051876985E-14,-5.20417042793042E-15)); #9329=CARTESIAN_POINT('',(18.5,7.6380383766641,-7.91583032641596)); #9330=CARTESIAN_POINT('',(18.5,7.77691180169435,-8.05975451416897)); #9331=CARTESIAN_POINT('',(18.5,7.91833315793166,-7.91833315793166)); #9332=CARTESIAN_POINT('',(18.5,7.91833315793166,-7.91833315793166)); #9333=CARTESIAN_POINT('',(18.5,7.98905501295366,-7.98905501295366)); #9334=CARTESIAN_POINT('',(18.5,8.13047636919097,-7.84763365671635)); #9335=CARTESIAN_POINT('',(18.5,8.27437859313946,-7.98652984076285)); #9336=CARTESIAN_POINT('',(18.5,0.,0.)); #9337=CARTESIAN_POINT('',(18.5,10.1525649214274,-5.40142809969753)); #9338=CARTESIAN_POINT('',(18.5,9.97599849716124,-5.3074903659487)); #9339=CARTESIAN_POINT('',(18.5,10.0667965971091,-5.129289061111)); #9340=CARTESIAN_POINT('',(18.5,10.0667965971091,-5.129289061111)); #9341=CARTESIAN_POINT('',(18.5,9.97768186097041,-5.08388283512336)); #9342=CARTESIAN_POINT('',(18.5,10.0684799609183,-4.90568153028569)); #9343=CARTESIAN_POINT('',(18.5,9.88868568178512,-4.81808006231299)); #9344=CARTESIAN_POINT('',(18.5,-9.36750677027476E-14,4.9439619065339E-14)); #9345=CARTESIAN_POINT('',(18.5,10.8321111759261,-1.914514944412)); #9346=CARTESIAN_POINT('',(18.5,11.029058651852,-1.94932430703767)); #9347=CARTESIAN_POINT('',(18.5,11.0603455448601,-1.75178663891864)); #9348=CARTESIAN_POINT('',(18.5,11.0603455448601,-1.75178663891864)); #9349=CARTESIAN_POINT('',(18.5,11.1591299908433,-1.76743255810846)); #9350=CARTESIAN_POINT('',(18.5,11.1904168838513,-1.56989488998944)); #9351=CARTESIAN_POINT('',(18.5,11.3884773596717,-1.59768064025474)); #9352=CARTESIAN_POINT('',(18.5,0.,0.)); #9353=CARTESIAN_POINT('',(18.5,11.3884773830933,1.59768047330223)); #9354=CARTESIAN_POINT('',(18.5,11.1904168838514,1.56989488998944)); #9355=CARTESIAN_POINT('',(18.5,11.1591299908433,1.76743255810846)); #9356=CARTESIAN_POINT('',(18.5,11.1591299908433,1.76743255810846)); #9357=CARTESIAN_POINT('',(18.5,11.0603455448601,1.75178663891864)); #9358=CARTESIAN_POINT('',(18.5,11.029058651852,1.94932430703767)); #9359=CARTESIAN_POINT('',(18.5,10.8321111735884,1.91451495763872)); #9360=CARTESIAN_POINT('',(18.5,-7.11236625150491E-14,-1.60461921527855E-14)); #9361=CARTESIAN_POINT('',(18.5,9.88868567590193,4.81808007438773)); #9362=CARTESIAN_POINT('',(18.5,10.0684799609183,4.90568153028569)); #9363=CARTESIAN_POINT('',(18.5,9.97768186097042,5.08388283512336)); #9364=CARTESIAN_POINT('',(18.5,9.97768186097042,5.08388283512336)); #9365=CARTESIAN_POINT('',(18.5,10.0667965971091,5.12928906111099)); #9366=CARTESIAN_POINT('',(18.5,9.97599849716118,5.30749036594867)); #9367=CARTESIAN_POINT('',(18.5,10.1525648336718,5.40142826464361)); #9368=CARTESIAN_POINT('',(18.5,0.,0.)); #9369=CARTESIAN_POINT('',(18.5,8.27437872289454,7.98652970633116)); #9370=CARTESIAN_POINT('',(18.5,8.13047636919102,7.8476336567164)); #9371=CARTESIAN_POINT('',(18.5,7.98905501295366,7.98905501295366)); #9372=CARTESIAN_POINT('',(18.5,7.98905501295366,7.98905501295366)); #9373=CARTESIAN_POINT('',(18.5,7.91833315793166,7.91833315793166)); #9374=CARTESIAN_POINT('',(18.5,7.77691180169435,8.05975451416897)); #9375=CARTESIAN_POINT('',(18.5,7.63803836699835,7.9158303357425)); #9376=CARTESIAN_POINT('',(18.5,7.02563007770607E-14,8.50014503228635E-14)); #9377=CARTESIAN_POINT('',(18.5,5.16811835174745,9.71033226528996)); #9378=CARTESIAN_POINT('',(18.5,5.26208413996104,9.88688376102251)); #9379=CARTESIAN_POINT('',(18.5,5.08388283512336,9.97768186097042)); #9380=CARTESIAN_POINT('',(18.5,5.08388283512336,9.97768186097042)); #9381=CARTESIAN_POINT('',(0.,0.,0.)); #9382=CARTESIAN_POINT('',(0.,0.,0.)); #9383=CARTESIAN_POINT('',(0.,0.,-10.5)); #9384=CARTESIAN_POINT('',(0.,7.59396739791272,2.17753511095429)); #9385=CARTESIAN_POINT('',(1.44560289664734E-16,7.59396739791272,2.17753511095429)); #9386=CARTESIAN_POINT('',(0.,9.02624732486082,2.58823477112162)); #9387=CARTESIAN_POINT('',(0.,8.67361737988404E-15,5.63785129692462E-15)); #9388=CARTESIAN_POINT('',(-4.8186763221578E-17,6.40396460098686,6.86741125820401)); #9389=CARTESIAN_POINT('',(0.,6.40396460098686,6.86741125820401)); #9390=CARTESIAN_POINT('',(0.,5.38778704449374,5.77769424279145)); #9391=CARTESIAN_POINT('',(0.,4.33680868994202E-16,2.60208521396521E-15)); #9392=CARTESIAN_POINT('',(9.63735264431559E-17,-5.68278442267534,5.48780112662608)); #9393=CARTESIAN_POINT('',(0.,-5.68278442267534,5.48780112662608)); #9394=CARTESIAN_POINT('',(-9.63735264431559E-17,-6.75460072517993,6.52284209860999)); #9395=CARTESIAN_POINT('',(0.,8.67361737988404E-16,0.)); #9396=CARTESIAN_POINT('',(-2.4093381610789E-16,-9.14933490833336,2.1122904002889)); #9397=CARTESIAN_POINT('',(0.,-9.14933490833336,2.1122904002889)); #9398=CARTESIAN_POINT('',(-9.63735264431559E-17,-7.69752351180336,1.77711332931654)); #9399=CARTESIAN_POINT('',(0.,-6.07153216591882E-15,-3.90312782094782E-15)); #9400=CARTESIAN_POINT('',(4.8186763221578E-17,-1.91118297523737,-7.66533623758037)); #9401=CARTESIAN_POINT('',(0.,-1.91118297523737,-7.66533623758037)); #9402=CARTESIAN_POINT('',(1.44560289664734E-16,-2.27164659968088,-9.11107686973161)); #9403=CARTESIAN_POINT('',(0.,8.67361737988404E-16,3.29597460435593E-14)); #9404=CARTESIAN_POINT('',(-9.63735264431559E-17,2.7453703073465,-8.97970165849291)); #9405=CARTESIAN_POINT('',(0.,2.7453703073465,-8.97970165849291)); #9406=CARTESIAN_POINT('',(-9.63735264431559E-17,2.30973646730962,-7.55480757210798)); #9407=CARTESIAN_POINT('',(0.,8.67361737988404E-16,-1.73472347597681E-15)); #9408=CARTESIAN_POINT('',(0.,7.89764601691619,-1.04116578027699E-6)); #9409=CARTESIAN_POINT('',(0.,7.89441206918298,-3.87060175577325E-14)); #9410=CARTESIAN_POINT('',(0.,7.89612630151881,0.747195111252818)); #9411=CARTESIAN_POINT('',(0.,7.79597807776206,1.47304014823759)); #9412=CARTESIAN_POINT('',(0.,7.59396739791272,2.17753511095429)); #9413=CARTESIAN_POINT('',(0.,0.,0.)); #9414=CARTESIAN_POINT('',(1.,0.,0.)); #9415=CARTESIAN_POINT('',(1.,0.,-11.5)); #9416=CARTESIAN_POINT('',(41.25,0.,0.)); #9417=CARTESIAN_POINT('',(4.1,11.3884773596717,-1.59768064025474)); #9418=CARTESIAN_POINT('',(4.1,11.3884773596717,-1.59768064025474)); #9419=CARTESIAN_POINT('',(4.1,0.,0.)); #9420=CARTESIAN_POINT('',(4.1,10.1525649214274,-5.40142809969753)); #9421=CARTESIAN_POINT('',(4.1,10.1525649214274,-5.40142809969753)); #9422=CARTESIAN_POINT('',(4.1,8.27437859313946,-7.98652984076285)); #9423=CARTESIAN_POINT('',(4.1,8.27437859313946,-7.98652984076285)); #9424=CARTESIAN_POINT('',(4.1,0.,0.)); #9425=CARTESIAN_POINT('',(4.1,5.03871777960934,-10.3373750603066)); #9426=CARTESIAN_POINT('',(4.1,5.03871777960934,-10.3373750603066)); #9427=CARTESIAN_POINT('',(4.1,1.99974842761033,-11.3247960787941)); #9428=CARTESIAN_POINT('',(4.1,1.99974842761033,-11.3247960787941)); #9429=CARTESIAN_POINT('',(4.1,0.,0.)); #9430=CARTESIAN_POINT('',(4.1,-1.99974829430107,-11.324796102334)); #9431=CARTESIAN_POINT('',(4.1,-1.99974829430107,-11.324796102334)); #9432=CARTESIAN_POINT('',(4.1,-5.03871764447084,-10.3373751261768)); #9433=CARTESIAN_POINT('',(4.1,-5.03871764447084,-10.3373751261768)); #9434=CARTESIAN_POINT('',(4.1,0.,0.)); #9435=CARTESIAN_POINT('',(4.1,-8.27437872289454,-7.98652970633116)); #9436=CARTESIAN_POINT('',(4.1,-8.27437872289454,-7.98652970633116)); #9437=CARTESIAN_POINT('',(4.1,-10.1525648508156,-5.40142823242004)); #9438=CARTESIAN_POINT('',(4.1,-10.1525648508156,-5.40142823242004)); #9439=CARTESIAN_POINT('',(4.1,0.,0.)); #9440=CARTESIAN_POINT('',(4.1,-11.3884773830934,-1.59768047330222)); #9441=CARTESIAN_POINT('',(4.1,-11.3884773830934,-1.59768047330222)); #9442=CARTESIAN_POINT('',(4.1,-11.3884773571362,1.59768065832787)); #9443=CARTESIAN_POINT('',(4.1,-11.3884773571362,1.59768065832787)); #9444=CARTESIAN_POINT('',(4.1,0.,0.)); #9445=CARTESIAN_POINT('',(4.1,-10.15256476306,5.40142839736613)); #9446=CARTESIAN_POINT('',(4.1,-10.15256476306,5.40142839736613)); #9447=CARTESIAN_POINT('',(4.1,-8.27437859313946,7.98652984076285)); #9448=CARTESIAN_POINT('',(4.1,-8.27437859313946,7.98652984076285)); #9449=CARTESIAN_POINT('',(4.1,0.,0.)); #9450=CARTESIAN_POINT('',(4.1,-5.03871747652219,10.3373752080395)); #9451=CARTESIAN_POINT('',(4.1,-5.03871747652219,10.3373752080395)); #9452=CARTESIAN_POINT('',(4.1,-1.99974842761034,11.3247960787941)); #9453=CARTESIAN_POINT('',(4.1,-1.99974842761034,11.3247960787941)); #9454=CARTESIAN_POINT('',(4.1,0.,0.)); #9455=CARTESIAN_POINT('',(4.1,1.99974862633896,11.3247960437023)); #9456=CARTESIAN_POINT('',(4.1,1.99974862633896,11.3247960437023)); #9457=CARTESIAN_POINT('',(4.1,5.03871764447084,10.3373751261768)); #9458=CARTESIAN_POINT('',(4.1,5.03871764447084,10.3373751261768)); #9459=CARTESIAN_POINT('',(4.1,0.,0.)); #9460=CARTESIAN_POINT('',(4.1,8.27437872289454,7.98652970633116)); #9461=CARTESIAN_POINT('',(4.1,8.27437872289454,7.98652970633116)); #9462=CARTESIAN_POINT('',(4.1,10.1525648336718,5.40142826464361)); #9463=CARTESIAN_POINT('',(4.1,10.1525648336718,5.40142826464361)); #9464=CARTESIAN_POINT('',(4.1,0.,0.)); #9465=CARTESIAN_POINT('',(4.1,11.3884773830933,1.59768047330223)); #9466=CARTESIAN_POINT('',(4.1,11.3884773830933,1.59768047330223)); #9467=CARTESIAN_POINT('',(41.25,0.,0.)); #9468=CARTESIAN_POINT('',(13.5,0.,0.)); #9469=CARTESIAN_POINT('',(13.5,0.,-9.3)); #9470=CARTESIAN_POINT('',(13.5,9.3,0.)); #9471=CARTESIAN_POINT('',(13.5,0.,0.)); #9472=CARTESIAN_POINT('',(13.5,0.,-8.5)); #9473=CARTESIAN_POINT('',(41.25,0.,0.)); #9474=CARTESIAN_POINT('',(20.3,8.47699809714808,-0.624902601168999)); #9475=CARTESIAN_POINT('',(20.3,8.47699809714808,-0.624902601168999)); #9476=CARTESIAN_POINT('',(20.3,8.47699809714808,-0.62490260116901)); #9477=CARTESIAN_POINT('',(20.3,8.47499385714821,-0.652090739981925)); #9478=CARTESIAN_POINT('',(20.3,8.47285881602307,-0.679269236466791)); #9479=CARTESIAN_POINT('',(20.3,8.47059307260238,-0.706436832548134)); #9480=CARTESIAN_POINT('',(20.3,7.29300157644299,-4.36601969830647)); #9481=CARTESIAN_POINT('',(20.3,7.26106063745758,-4.41937385941207)); #9482=CARTESIAN_POINT('',(20.3,7.22853418231561,-4.47237749582763)); #9483=CARTESIAN_POINT('',(20.3,7.19543004426456,-4.52501784284824)); #9484=CARTESIAN_POINT('',(20.3,7.19543004426456,-4.52501784284824)); #9485=CARTESIAN_POINT('',(20.3,7.19543004426456,-4.52501784284824)); #9486=CARTESIAN_POINT('',(20.3,6.49072698879055,-5.48821128938983)); #9487=CARTESIAN_POINT('',(20.3,6.49072698879055,-5.48821128938983)); #9488=CARTESIAN_POINT('',(20.3,6.49072698879054,-5.48821128938984)); #9489=CARTESIAN_POINT('',(20.3,6.47312473753833,-5.50902889302093)); #9490=CARTESIAN_POINT('',(20.3,6.45542233357112,-5.52976181287235)); #9491=CARTESIAN_POINT('',(20.3,6.43762059632203,-5.5504090892303)); #9492=CARTESIAN_POINT('',(20.3,3.3338802254627,-7.81890290528465)); #9493=CARTESIAN_POINT('',(20.3,3.27667867396089,-7.84329291545971)); #9494=CARTESIAN_POINT('',(20.3,3.21920946318133,-7.86705518744176)); #9495=CARTESIAN_POINT('',(20.3,3.16148643325962,-7.89018399863497)); #9496=CARTESIAN_POINT('',(20.3,3.16148643325962,-7.89018399863497)); #9497=CARTESIAN_POINT('',(20.3,3.16148643325962,-7.89018399863497)); #9498=CARTESIAN_POINT('',(20.3,2.02521878241128,-8.25520980250463)); #9499=CARTESIAN_POINT('',(20.3,2.02521878241128,-8.25520980250463)); #9500=CARTESIAN_POINT('',(20.3,2.02521878241136,-8.25520980250461)); #9501=CARTESIAN_POINT('',(20.3,1.99874198160658,-8.26170525393115)); #9502=CARTESIAN_POINT('',(20.3,1.97223393143014,-8.26807332645192)); #9503=CARTESIAN_POINT('',(20.3,1.94569585892296,-8.27431372529287)); #9504=CARTESIAN_POINT('',(20.3,-1.89867005722319,-8.28523095717941)); #9505=CARTESIAN_POINT('',(20.3,-1.95928317277693,-8.271340661523)); #9506=CARTESIAN_POINT('',(20.3,-2.01974385398293,-8.25678518882433)); #9507=CARTESIAN_POINT('',(20.3,-2.08003754027883,-8.2415680444337)); #9508=CARTESIAN_POINT('',(20.3,-2.08003754027883,-8.2415680444337)); #9509=CARTESIAN_POINT('',(20.3,-2.08003754027883,-8.2415680444337)); #9510=CARTESIAN_POINT('',(20.3,-3.21385416419442,-7.86899875532397)); #9511=CARTESIAN_POINT('',(20.3,-3.21385416419442,-7.86899875532397)); #9512=CARTESIAN_POINT('',(20.3,-3.2138541641944,-7.86899875532398)); #9513=CARTESIAN_POINT('',(20.3,-3.23909227655761,-7.85869101287326)); #9514=CARTESIAN_POINT('',(20.3,-3.2642807987513,-7.84826185080325)); #9515=CARTESIAN_POINT('',(20.3,-3.28941856481466,-7.83771175187329)); #9516=CARTESIAN_POINT('',(20.3,-6.40599291147152,-5.58688238807447)); #9517=CARTESIAN_POINT('',(20.3,-6.44686544109969,-5.54001740741355)); #9518=CARTESIAN_POINT('',(20.3,-6.4872236674944,-5.49270388588271)); #9519=CARTESIAN_POINT('',(20.3,-6.52705787130649,-5.44495321785376)); #9520=CARTESIAN_POINT('',(20.3,-6.52705787130648,-5.44495321785377)); #9521=CARTESIAN_POINT('',(20.3,-6.52705787130648,-5.44495321785377)); #9522=CARTESIAN_POINT('',(20.3,-7.22534405496323,-4.47709764104017)); #9523=CARTESIAN_POINT('',(20.3,-7.22534405496323,-4.47709764104017)); #9524=CARTESIAN_POINT('',(20.3,-7.22534405496324,-4.47709764104016)); #9525=CARTESIAN_POINT('',(20.3,-7.23970337777405,-4.45392391198111)); #9526=CARTESIAN_POINT('',(20.3,-7.25395121263341,-4.4306811007569)); #9527=CARTESIAN_POINT('',(20.3,-7.26808690001802,-4.40737028326262)); #9528=CARTESIAN_POINT('',(20.3,-8.46644420522864,-0.754534637873243)); #9529=CARTESIAN_POINT('',(20.3,-8.47196423181945,-0.692595801938184)); #9530=CARTESIAN_POINT('',(20.3,-8.47680453264576,-0.630596388672526)); #9531=CARTESIAN_POINT('',(20.3,-8.4809639420326,-0.568551329206794)); #9532=CARTESIAN_POINT('',(20.3,-8.4809639420326,-0.568551329206792)); #9533=CARTESIAN_POINT('',(20.3,-8.4809639420326,-0.568551329206792)); #9534=CARTESIAN_POINT('',(20.3,-8.47699809714808,0.624902601169001)); #9535=CARTESIAN_POINT('',(20.3,-8.47699809714808,0.624902601169001)); #9536=CARTESIAN_POINT('',(20.3,-8.47699809714808,0.624902601169024)); #9537=CARTESIAN_POINT('',(20.3,-8.47499385714821,0.652090739981925)); #9538=CARTESIAN_POINT('',(20.3,-8.47285881602307,0.679269236466778)); #9539=CARTESIAN_POINT('',(20.3,-8.47059307260238,0.706436832548107)); #9540=CARTESIAN_POINT('',(20.3,-7.29300157644299,4.36601969830647)); #9541=CARTESIAN_POINT('',(20.3,-7.26106063745757,4.41937385941208)); #9542=CARTESIAN_POINT('',(20.3,-7.22853418231561,4.47237749582763)); #9543=CARTESIAN_POINT('',(20.3,-7.19543004426456,4.52501784284824)); #9544=CARTESIAN_POINT('',(20.3,-7.19543004426456,4.52501784284824)); #9545=CARTESIAN_POINT('',(20.3,-7.19543004426456,4.52501784284824)); #9546=CARTESIAN_POINT('',(20.3,-6.49072698879055,5.48821128938983)); #9547=CARTESIAN_POINT('',(20.3,-6.49072698879055,5.48821128938983)); #9548=CARTESIAN_POINT('',(20.3,-6.49072698879055,5.48821128938983)); #9549=CARTESIAN_POINT('',(20.3,-6.47312473753833,5.50902889302093)); #9550=CARTESIAN_POINT('',(20.3,-6.45542233357111,5.52976181287236)); #9551=CARTESIAN_POINT('',(20.3,-6.43762059632202,5.55040908923032)); #9552=CARTESIAN_POINT('',(20.3,-3.33388022546269,7.81890290528466)); #9553=CARTESIAN_POINT('',(20.3,-3.27667867396088,7.84329291545971)); #9554=CARTESIAN_POINT('',(20.3,-3.21920946318132,7.86705518744177)); #9555=CARTESIAN_POINT('',(20.3,-3.16148643325961,7.89018399863498)); #9556=CARTESIAN_POINT('',(20.3,-3.16148643325961,7.89018399863498)); #9557=CARTESIAN_POINT('',(20.3,-3.16148643325961,7.89018399863498)); #9558=CARTESIAN_POINT('',(20.3,-2.02521878241128,8.25520980250463)); #9559=CARTESIAN_POINT('',(20.3,-2.02521878241128,8.25520980250463)); #9560=CARTESIAN_POINT('',(20.3,-2.02521878241134,8.25520980250462)); #9561=CARTESIAN_POINT('',(20.3,-1.99874198160657,8.26170525393115)); #9562=CARTESIAN_POINT('',(20.3,-1.97223393143014,8.26807332645192)); #9563=CARTESIAN_POINT('',(20.3,-1.94569585892298,8.27431372529287)); #9564=CARTESIAN_POINT('',(20.3,1.89867005722319,8.28523095717941)); #9565=CARTESIAN_POINT('',(20.3,1.95928317277693,8.271340661523)); #9566=CARTESIAN_POINT('',(20.3,2.01974385398293,8.25678518882433)); #9567=CARTESIAN_POINT('',(20.3,2.08003754027883,8.2415680444337)); #9568=CARTESIAN_POINT('',(20.3,2.08003754027883,8.2415680444337)); #9569=CARTESIAN_POINT('',(20.3,2.08003754027883,8.2415680444337)); #9570=CARTESIAN_POINT('',(20.3,3.21385416419442,7.86899875532397)); #9571=CARTESIAN_POINT('',(20.3,3.21385416419442,7.86899875532397)); #9572=CARTESIAN_POINT('',(20.3,3.21385416419441,7.86899875532398)); #9573=CARTESIAN_POINT('',(20.3,3.23909227655761,7.85869101287326)); #9574=CARTESIAN_POINT('',(20.3,3.2642807987513,7.84826185080325)); #9575=CARTESIAN_POINT('',(20.3,3.28941856481465,7.83771175187329)); #9576=CARTESIAN_POINT('',(20.3,6.40599291147153,5.58688238807446)); #9577=CARTESIAN_POINT('',(20.3,6.44686544109969,5.54001740741354)); #9578=CARTESIAN_POINT('',(20.3,6.4872236674944,5.49270388588271)); #9579=CARTESIAN_POINT('',(20.3,6.52705787130649,5.44495321785376)); #9580=CARTESIAN_POINT('',(20.3,6.52705787130648,5.44495321785376)); #9581=CARTESIAN_POINT('',(20.3,6.52705787130648,5.44495321785376)); #9582=CARTESIAN_POINT('',(20.3,7.22534405496323,4.47709764104017)); #9583=CARTESIAN_POINT('',(20.3,7.22534405496323,4.47709764104017)); #9584=CARTESIAN_POINT('',(20.3,7.22534405496323,4.47709764104018)); #9585=CARTESIAN_POINT('',(20.3,7.23970337777404,4.45392391198112)); #9586=CARTESIAN_POINT('',(20.3,7.25395121263341,4.4306811007569)); #9587=CARTESIAN_POINT('',(20.3,7.26808690001803,4.40737028326261)); #9588=CARTESIAN_POINT('',(20.3,8.46644420522863,0.754534637873249)); #9589=CARTESIAN_POINT('',(20.3,8.47196423181945,0.692595801938189)); #9590=CARTESIAN_POINT('',(20.3,8.47680453264576,0.63059638867253)); #9591=CARTESIAN_POINT('',(20.3,8.4809639420326,0.568551329206797)); #9592=CARTESIAN_POINT('',(20.3,8.4809639420326,0.568551329206797)); #9593=CARTESIAN_POINT('',(20.3,8.4809639420326,0.568551329206797)); #9594=CARTESIAN_POINT('',(41.25,8.,0.)); #9595=CARTESIAN_POINT('',(41.25,0.,0.)); #9596=CARTESIAN_POINT('',(41.25,0.,-4.5)); #9597=CARTESIAN_POINT('',(4.1,1.96497022622751,11.1278430978353)); #9598=CARTESIAN_POINT('',(4.1,1.96497022622751,11.1278430978353)); #9599=CARTESIAN_POINT('',(4.1,1.76743255810846,11.1591299908433)); #9600=CARTESIAN_POINT('',(4.1,1.76743255810846,11.1591299908433)); #9601=CARTESIAN_POINT('',(4.1,1.76743255810846,11.1591299908433)); #9602=CARTESIAN_POINT('',(4.1,1.76743255810846,11.1591299908433)); #9603=CARTESIAN_POINT('',(4.1,1.75178663891864,11.0603455448601)); #9604=CARTESIAN_POINT('',(4.1,1.75178663891864,11.0603455448601)); #9605=CARTESIAN_POINT('',(4.1,1.55424897079961,11.0916324378681)); #9606=CARTESIAN_POINT('',(4.1,1.55424897079961,11.0916324378681)); #9607=CARTESIAN_POINT('',(4.1,1.52649451159072,10.8935675747701)); #9608=CARTESIAN_POINT('',(4.1,1.52649451159072,10.8935675747701)); #9609=CARTESIAN_POINT('',(4.1,5.96311194867027E-15,-1.07552855510562E-13)); #9610=CARTESIAN_POINT('',(4.1,5.96311194867027E-15,-1.07552855510562E-13)); #9611=CARTESIAN_POINT('',(4.1,-1.52649452489247,10.8935675729062)); #9612=CARTESIAN_POINT('',(4.1,-1.52649452489247,10.8935675729062)); #9613=CARTESIAN_POINT('',(4.1,-1.55424897079961,11.0916324378681)); #9614=CARTESIAN_POINT('',(4.1,-1.55424897079961,11.0916324378681)); #9615=CARTESIAN_POINT('',(4.1,-1.75178663891864,11.0603455448601)); #9616=CARTESIAN_POINT('',(4.1,-1.75178663891864,11.0603455448601)); #9617=CARTESIAN_POINT('',(4.1,-1.96497022622749,11.1278430978352)); #9618=CARTESIAN_POINT('',(4.1,-1.76743255810846,11.1591299908433)); #9619=CARTESIAN_POINT('',(4.1,-1.76743255810846,11.1591299908433)); #9620=CARTESIAN_POINT('',(4.1,-1.96497022622749,11.1278430978352)); #9621=CARTESIAN_POINT('',(4.1,-1.75178663891864,11.0603455448601)); #9622=CARTESIAN_POINT('',(4.1,-1.75178663891864,11.0603455448601)); #9623=CARTESIAN_POINT('',(4.1,0.,0.)); #9624=CARTESIAN_POINT('',(4.1,-4.95108775627335,10.1575946970571)); #9625=CARTESIAN_POINT('',(4.1,-4.95108775627335,10.1575946970571)); #9626=CARTESIAN_POINT('',(4.1,-5.129289061111,10.0667965971091)); #9627=CARTESIAN_POINT('',(4.1,-5.129289061111,10.0667965971091)); #9628=CARTESIAN_POINT('',(4.1,-5.12928906111099,10.0667965971091)); #9629=CARTESIAN_POINT('',(4.1,-5.12928906111099,10.0667965971091)); #9630=CARTESIAN_POINT('',(4.1,-5.08388283512336,9.97768186097041)); #9631=CARTESIAN_POINT('',(4.1,-5.08388283512336,9.97768186097041)); #9632=CARTESIAN_POINT('',(4.1,-5.26208413996104,9.88688376102251)); #9633=CARTESIAN_POINT('',(4.1,-5.26208413996104,9.88688376102251)); #9634=CARTESIAN_POINT('',(4.1,-5.1681183636044,9.71033225897935)); #9635=CARTESIAN_POINT('',(4.1,-5.1681183636044,9.71033225897935)); #9636=CARTESIAN_POINT('',(4.1,-5.98479599211998E-14,5.3776427755281E-14)); #9637=CARTESIAN_POINT('',(4.1,-5.98479599211998E-14,5.3776427755281E-14)); #9638=CARTESIAN_POINT('',(4.1,-7.6380383766641,7.91583032641596)); #9639=CARTESIAN_POINT('',(4.1,-7.6380383766641,7.91583032641596)); #9640=CARTESIAN_POINT('',(4.1,-7.77691180169436,8.05975451416898)); #9641=CARTESIAN_POINT('',(4.1,-7.77691180169436,8.05975451416898)); #9642=CARTESIAN_POINT('',(4.1,-7.91833315793166,7.91833315793166)); #9643=CARTESIAN_POINT('',(4.1,-7.91833315793166,7.91833315793166)); #9644=CARTESIAN_POINT('',(4.1,-7.91833315793166,7.91833315793166)); #9645=CARTESIAN_POINT('',(4.1,-7.91833315793166,7.91833315793166)); #9646=CARTESIAN_POINT('',(4.1,-7.98905501295366,7.98905501295366)); #9647=CARTESIAN_POINT('',(4.1,-7.98905501295366,7.98905501295366)); #9648=CARTESIAN_POINT('',(4.1,-8.13047636919097,7.84763365671635)); #9649=CARTESIAN_POINT('',(4.1,-8.13047636919097,7.84763365671635)); #9650=CARTESIAN_POINT('',(4.1,0.,0.)); #9651=CARTESIAN_POINT('',(4.1,-9.97599849716125,5.3074903659487)); #9652=CARTESIAN_POINT('',(4.1,-9.97599849716125,5.3074903659487)); #9653=CARTESIAN_POINT('',(4.1,-10.0667965971091,5.129289061111)); #9654=CARTESIAN_POINT('',(4.1,-10.0667965971091,5.129289061111)); #9655=CARTESIAN_POINT('',(4.1,-10.0667965971091,5.129289061111)); #9656=CARTESIAN_POINT('',(4.1,-10.0667965971091,5.129289061111)); #9657=CARTESIAN_POINT('',(4.1,-9.97768186097041,5.08388283512336)); #9658=CARTESIAN_POINT('',(4.1,-9.97768186097041,5.08388283512336)); #9659=CARTESIAN_POINT('',(4.1,-10.0684799609183,4.90568153028568)); #9660=CARTESIAN_POINT('',(4.1,-10.0684799609183,4.90568153028568)); #9661=CARTESIAN_POINT('',(4.1,-9.88868568178512,4.81808006231299)); #9662=CARTESIAN_POINT('',(4.1,-9.88868568178512,4.81808006231299)); #9663=CARTESIAN_POINT('',(4.1,-5.3776427755281E-14,-3.46944695195361E-15)); #9664=CARTESIAN_POINT('',(4.1,-5.3776427755281E-14,-3.46944695195361E-15)); #9665=CARTESIAN_POINT('',(4.1,-10.8321111759261,1.914514944412)); #9666=CARTESIAN_POINT('',(4.1,-10.8321111759261,1.914514944412)); #9667=CARTESIAN_POINT('',(4.1,-11.029058651852,1.94932430703767)); #9668=CARTESIAN_POINT('',(4.1,-11.029058651852,1.94932430703767)); #9669=CARTESIAN_POINT('',(4.1,-11.0603455448601,1.75178663891864)); #9670=CARTESIAN_POINT('',(4.1,-11.0603455448601,1.75178663891864)); #9671=CARTESIAN_POINT('',(4.1,-11.0603455448601,1.75178663891864)); #9672=CARTESIAN_POINT('',(4.1,-11.0603455448601,1.75178663891864)); #9673=CARTESIAN_POINT('',(4.1,-11.1591299908433,1.76743255810846)); #9674=CARTESIAN_POINT('',(4.1,-11.1591299908433,1.76743255810846)); #9675=CARTESIAN_POINT('',(4.1,-11.1904168838513,1.56989488998944)); #9676=CARTESIAN_POINT('',(4.1,-11.1904168838513,1.56989488998944)); #9677=CARTESIAN_POINT('',(4.1,0.,0.)); #9678=CARTESIAN_POINT('',(4.1,-11.1904168838514,-1.56989488998944)); #9679=CARTESIAN_POINT('',(4.1,-11.1904168838514,-1.56989488998944)); #9680=CARTESIAN_POINT('',(4.1,-11.1591299908433,-1.76743255810846)); #9681=CARTESIAN_POINT('',(4.1,-11.1591299908433,-1.76743255810846)); #9682=CARTESIAN_POINT('',(4.1,-11.1591299908433,-1.76743255810846)); #9683=CARTESIAN_POINT('',(4.1,-11.1591299908433,-1.76743255810846)); #9684=CARTESIAN_POINT('',(4.1,-11.0603455448601,-1.75178663891864)); #9685=CARTESIAN_POINT('',(4.1,-11.0603455448601,-1.75178663891864)); #9686=CARTESIAN_POINT('',(4.1,-11.029058651852,-1.94932430703767)); #9687=CARTESIAN_POINT('',(4.1,-11.029058651852,-1.94932430703767)); #9688=CARTESIAN_POINT('',(4.1,-10.8321111735884,-1.91451495763872)); #9689=CARTESIAN_POINT('',(4.1,-10.8321111735884,-1.91451495763872)); #9690=CARTESIAN_POINT('',(4.1,-1.66533453693773E-13,-6.76542155630955E-14)); #9691=CARTESIAN_POINT('',(4.1,-1.66533453693773E-13,-6.76542155630955E-14)); #9692=CARTESIAN_POINT('',(4.1,-9.88868567590193,-4.81808007438773)); #9693=CARTESIAN_POINT('',(4.1,-9.88868567590193,-4.81808007438773)); #9694=CARTESIAN_POINT('',(4.1,-10.0684799609183,-4.90568153028569)); #9695=CARTESIAN_POINT('',(4.1,-10.0684799609183,-4.90568153028569)); #9696=CARTESIAN_POINT('',(4.1,-9.97768186097042,-5.08388283512336)); #9697=CARTESIAN_POINT('',(4.1,-9.97768186097042,-5.08388283512336)); #9698=CARTESIAN_POINT('',(4.1,-9.97768186097042,-5.08388283512336)); #9699=CARTESIAN_POINT('',(4.1,-9.97768186097042,-5.08388283512336)); #9700=CARTESIAN_POINT('',(4.1,-10.0667965971091,-5.12928906111099)); #9701=CARTESIAN_POINT('',(4.1,-10.0667965971091,-5.12928906111099)); #9702=CARTESIAN_POINT('',(4.1,-9.97599849716118,-5.30749036594867)); #9703=CARTESIAN_POINT('',(4.1,-9.97599849716118,-5.30749036594867)); #9704=CARTESIAN_POINT('',(4.1,0.,0.)); #9705=CARTESIAN_POINT('',(4.1,-8.13047636919102,-7.8476336567164)); #9706=CARTESIAN_POINT('',(4.1,-8.13047636919102,-7.8476336567164)); #9707=CARTESIAN_POINT('',(4.1,-7.98905501295366,-7.98905501295366)); #9708=CARTESIAN_POINT('',(4.1,-7.98905501295366,-7.98905501295366)); #9709=CARTESIAN_POINT('',(4.1,-7.98905501295366,-7.98905501295366)); #9710=CARTESIAN_POINT('',(4.1,-7.98905501295366,-7.98905501295366)); #9711=CARTESIAN_POINT('',(4.1,-7.91833315793166,-7.91833315793166)); #9712=CARTESIAN_POINT('',(4.1,-7.91833315793166,-7.91833315793166)); #9713=CARTESIAN_POINT('',(4.1,-7.77691180169435,-8.05975451416897)); #9714=CARTESIAN_POINT('',(4.1,-7.77691180169435,-8.05975451416897)); #9715=CARTESIAN_POINT('',(4.1,-7.63803836699835,-7.9158303357425)); #9716=CARTESIAN_POINT('',(4.1,-7.63803836699835,-7.9158303357425)); #9717=CARTESIAN_POINT('',(4.1,-6.41847686111419E-14,-8.32667268468867E-14)); #9718=CARTESIAN_POINT('',(4.1,-6.41847686111419E-14,-8.32667268468867E-14)); #9719=CARTESIAN_POINT('',(4.1,-5.16811835174744,-9.71033226528996)); #9720=CARTESIAN_POINT('',(4.1,-5.16811835174744,-9.71033226528996)); #9721=CARTESIAN_POINT('',(4.1,-5.26208413996103,-9.8868837610225)); #9722=CARTESIAN_POINT('',(4.1,-5.26208413996103,-9.8868837610225)); #9723=CARTESIAN_POINT('',(4.1,-5.08388283512336,-9.97768186097042)); #9724=CARTESIAN_POINT('',(4.1,-5.08388283512336,-9.97768186097042)); #9725=CARTESIAN_POINT('',(4.1,-5.08388283512336,-9.97768186097042)); #9726=CARTESIAN_POINT('',(4.1,-5.08388283512336,-9.97768186097042)); #9727=CARTESIAN_POINT('',(4.1,-5.12928906111099,-10.0667965971091)); #9728=CARTESIAN_POINT('',(4.1,-5.12928906111099,-10.0667965971091)); #9729=CARTESIAN_POINT('',(4.1,-4.95108775627332,-10.157594697057)); #9730=CARTESIAN_POINT('',(4.1,-4.95108775627332,-10.157594697057)); #9731=CARTESIAN_POINT('',(4.1,0.,0.)); #9732=CARTESIAN_POINT('',(4.1,-1.9649702262275,-11.1278430978353)); #9733=CARTESIAN_POINT('',(4.1,-1.9649702262275,-11.1278430978353)); #9734=CARTESIAN_POINT('',(4.1,-1.76743255810846,-11.1591299908433)); #9735=CARTESIAN_POINT('',(4.1,-1.76743255810846,-11.1591299908433)); #9736=CARTESIAN_POINT('',(4.1,-1.76743255810846,-11.1591299908433)); #9737=CARTESIAN_POINT('',(4.1,-1.76743255810846,-11.1591299908433)); #9738=CARTESIAN_POINT('',(4.1,-1.75178663891864,-11.0603455448601)); #9739=CARTESIAN_POINT('',(4.1,-1.75178663891864,-11.0603455448601)); #9740=CARTESIAN_POINT('',(4.1,-1.55424897079961,-11.0916324378681)); #9741=CARTESIAN_POINT('',(4.1,-1.55424897079961,-11.0916324378681)); #9742=CARTESIAN_POINT('',(4.1,-1.52649451159071,-10.8935675747701)); #9743=CARTESIAN_POINT('',(4.1,-1.52649451159071,-10.8935675747701)); #9744=CARTESIAN_POINT('',(4.1,-6.17995238316738E-15,9.71445146547012E-14)); #9745=CARTESIAN_POINT('',(4.1,-6.17995238316738E-15,9.71445146547012E-14)); #9746=CARTESIAN_POINT('',(4.1,1.52649452489248,-10.8935675729062)); #9747=CARTESIAN_POINT('',(4.1,1.52649452489248,-10.8935675729062)); #9748=CARTESIAN_POINT('',(4.1,1.55424897079961,-11.0916324378681)); #9749=CARTESIAN_POINT('',(4.1,1.55424897079961,-11.0916324378681)); #9750=CARTESIAN_POINT('',(4.1,1.75178663891864,-11.0603455448601)); #9751=CARTESIAN_POINT('',(4.1,1.75178663891864,-11.0603455448601)); #9752=CARTESIAN_POINT('',(4.1,1.75178663891864,-11.0603455448601)); #9753=CARTESIAN_POINT('',(4.1,1.75178663891864,-11.0603455448601)); #9754=CARTESIAN_POINT('',(4.1,1.76743255810846,-11.1591299908433)); #9755=CARTESIAN_POINT('',(4.1,1.76743255810846,-11.1591299908433)); #9756=CARTESIAN_POINT('',(4.1,1.96497022622749,-11.1278430978352)); #9757=CARTESIAN_POINT('',(4.1,1.96497022622749,-11.1278430978352)); #9758=CARTESIAN_POINT('',(4.1,0.,0.)); #9759=CARTESIAN_POINT('',(4.1,4.95108775627335,-10.1575946970571)); #9760=CARTESIAN_POINT('',(4.1,4.95108775627335,-10.1575946970571)); #9761=CARTESIAN_POINT('',(4.1,5.129289061111,-10.0667965971091)); #9762=CARTESIAN_POINT('',(4.1,5.129289061111,-10.0667965971091)); #9763=CARTESIAN_POINT('',(4.1,5.12928906111099,-10.0667965971091)); #9764=CARTESIAN_POINT('',(4.1,5.12928906111099,-10.0667965971091)); #9765=CARTESIAN_POINT('',(4.1,5.08388283512336,-9.97768186097041)); #9766=CARTESIAN_POINT('',(4.1,5.08388283512336,-9.97768186097041)); #9767=CARTESIAN_POINT('',(4.1,5.26208413996104,-9.88688376102251)); #9768=CARTESIAN_POINT('',(4.1,5.26208413996104,-9.88688376102251)); #9769=CARTESIAN_POINT('',(4.1,5.1681183636044,-9.71033225897935)); #9770=CARTESIAN_POINT('',(4.1,5.1681183636044,-9.71033225897935)); #9771=CARTESIAN_POINT('',(4.1,2.25514051876985E-14,-5.20417042793042E-15)); #9772=CARTESIAN_POINT('',(4.1,2.25514051876985E-14,-5.20417042793042E-15)); #9773=CARTESIAN_POINT('',(4.1,7.6380383766641,-7.91583032641596)); #9774=CARTESIAN_POINT('',(4.1,7.6380383766641,-7.91583032641596)); #9775=CARTESIAN_POINT('',(4.1,7.77691180169435,-8.05975451416897)); #9776=CARTESIAN_POINT('',(4.1,7.77691180169435,-8.05975451416897)); #9777=CARTESIAN_POINT('',(4.1,7.91833315793166,-7.91833315793166)); #9778=CARTESIAN_POINT('',(4.1,7.91833315793166,-7.91833315793166)); #9779=CARTESIAN_POINT('',(4.1,7.91833315793166,-7.91833315793166)); #9780=CARTESIAN_POINT('',(4.1,7.91833315793166,-7.91833315793166)); #9781=CARTESIAN_POINT('',(4.1,7.98905501295366,-7.98905501295366)); #9782=CARTESIAN_POINT('',(4.1,7.98905501295366,-7.98905501295366)); #9783=CARTESIAN_POINT('',(4.1,8.13047636919097,-7.84763365671635)); #9784=CARTESIAN_POINT('',(4.1,8.13047636919097,-7.84763365671635)); #9785=CARTESIAN_POINT('',(4.1,0.,0.)); #9786=CARTESIAN_POINT('',(4.1,9.97599849716124,-5.3074903659487)); #9787=CARTESIAN_POINT('',(4.1,9.97599849716124,-5.3074903659487)); #9788=CARTESIAN_POINT('',(4.1,10.0667965971091,-5.129289061111)); #9789=CARTESIAN_POINT('',(4.1,10.0667965971091,-5.129289061111)); #9790=CARTESIAN_POINT('',(4.1,10.0667965971091,-5.129289061111)); #9791=CARTESIAN_POINT('',(4.1,10.0667965971091,-5.129289061111)); #9792=CARTESIAN_POINT('',(4.1,9.97768186097041,-5.08388283512336)); #9793=CARTESIAN_POINT('',(4.1,9.97768186097041,-5.08388283512336)); #9794=CARTESIAN_POINT('',(4.1,10.0684799609183,-4.90568153028569)); #9795=CARTESIAN_POINT('',(4.1,10.0684799609183,-4.90568153028569)); #9796=CARTESIAN_POINT('',(4.1,9.88868568178512,-4.81808006231299)); #9797=CARTESIAN_POINT('',(4.1,9.88868568178512,-4.81808006231299)); #9798=CARTESIAN_POINT('',(4.1,-9.36750677027476E-14,4.9439619065339E-14)); #9799=CARTESIAN_POINT('',(4.1,-9.36750677027476E-14,4.9439619065339E-14)); #9800=CARTESIAN_POINT('',(4.1,10.8321111759261,-1.914514944412)); #9801=CARTESIAN_POINT('',(4.1,10.8321111759261,-1.914514944412)); #9802=CARTESIAN_POINT('',(4.1,11.029058651852,-1.94932430703767)); #9803=CARTESIAN_POINT('',(4.1,11.029058651852,-1.94932430703767)); #9804=CARTESIAN_POINT('',(4.1,11.0603455448601,-1.75178663891864)); #9805=CARTESIAN_POINT('',(4.1,11.0603455448601,-1.75178663891864)); #9806=CARTESIAN_POINT('',(4.1,11.0603455448601,-1.75178663891864)); #9807=CARTESIAN_POINT('',(4.1,11.0603455448601,-1.75178663891864)); #9808=CARTESIAN_POINT('',(4.1,11.1591299908433,-1.76743255810846)); #9809=CARTESIAN_POINT('',(4.1,11.1591299908433,-1.76743255810846)); #9810=CARTESIAN_POINT('',(4.1,11.1904168838513,-1.56989488998944)); #9811=CARTESIAN_POINT('',(4.1,11.1904168838513,-1.56989488998944)); #9812=CARTESIAN_POINT('',(4.1,0.,0.)); #9813=CARTESIAN_POINT('',(4.1,11.1904168838514,1.56989488998944)); #9814=CARTESIAN_POINT('',(4.1,11.1904168838514,1.56989488998944)); #9815=CARTESIAN_POINT('',(4.1,11.1591299908433,1.76743255810846)); #9816=CARTESIAN_POINT('',(4.1,11.1591299908433,1.76743255810846)); #9817=CARTESIAN_POINT('',(4.1,11.1591299908433,1.76743255810846)); #9818=CARTESIAN_POINT('',(4.1,11.1591299908433,1.76743255810846)); #9819=CARTESIAN_POINT('',(4.1,11.0603455448601,1.75178663891864)); #9820=CARTESIAN_POINT('',(4.1,11.0603455448601,1.75178663891864)); #9821=CARTESIAN_POINT('',(4.1,11.029058651852,1.94932430703767)); #9822=CARTESIAN_POINT('',(4.1,11.029058651852,1.94932430703767)); #9823=CARTESIAN_POINT('',(4.1,10.8321111735884,1.91451495763872)); #9824=CARTESIAN_POINT('',(4.1,10.8321111735884,1.91451495763872)); #9825=CARTESIAN_POINT('',(4.1,-7.11236625150491E-14,-1.60461921527855E-14)); #9826=CARTESIAN_POINT('',(4.1,-7.11236625150491E-14,-1.60461921527855E-14)); #9827=CARTESIAN_POINT('',(4.1,9.88868567590193,4.81808007438773)); #9828=CARTESIAN_POINT('',(4.1,9.88868567590193,4.81808007438773)); #9829=CARTESIAN_POINT('',(4.1,10.0684799609183,4.90568153028569)); #9830=CARTESIAN_POINT('',(4.1,10.0684799609183,4.90568153028569)); #9831=CARTESIAN_POINT('',(4.1,9.97768186097042,5.08388283512336)); #9832=CARTESIAN_POINT('',(4.1,9.97768186097042,5.08388283512336)); #9833=CARTESIAN_POINT('',(4.1,9.97768186097042,5.08388283512336)); #9834=CARTESIAN_POINT('',(4.1,9.97768186097042,5.08388283512336)); #9835=CARTESIAN_POINT('',(4.1,10.0667965971091,5.12928906111099)); #9836=CARTESIAN_POINT('',(4.1,10.0667965971091,5.12928906111099)); #9837=CARTESIAN_POINT('',(4.1,9.97599849716118,5.30749036594867)); #9838=CARTESIAN_POINT('',(4.1,9.97599849716118,5.30749036594867)); #9839=CARTESIAN_POINT('',(4.1,0.,0.)); #9840=CARTESIAN_POINT('',(4.1,0.,0.)); #9841=CARTESIAN_POINT('',(4.1,4.95108775627332,10.157594697057)); #9842=CARTESIAN_POINT('',(4.1,5.12928906111099,10.0667965971091)); #9843=CARTESIAN_POINT('',(4.1,5.08388283512336,9.97768186097042)); #9844=CARTESIAN_POINT('',(4.1,5.08388283512336,9.97768186097042)); #9845=CARTESIAN_POINT('',(4.1,5.26208413996104,9.88688376102251)); #9846=CARTESIAN_POINT('',(4.1,5.16811835174745,9.71033226528996)); #9847=CARTESIAN_POINT('',(4.1,7.02563007770607E-14,8.50014503228635E-14)); #9848=CARTESIAN_POINT('',(4.1,7.63803836699835,7.9158303357425)); #9849=CARTESIAN_POINT('',(4.1,7.77691180169435,8.05975451416897)); #9850=CARTESIAN_POINT('',(4.1,7.91833315793166,7.91833315793166)); #9851=CARTESIAN_POINT('',(4.1,7.98905501295366,7.98905501295366)); #9852=CARTESIAN_POINT('',(4.1,7.98905501295366,7.98905501295366)); #9853=CARTESIAN_POINT('',(4.1,8.13047636919102,7.8476336567164)); #9854=CARTESIAN_POINT('',(4.1,4.95108775627332,10.157594697057)); #9855=CARTESIAN_POINT('',(4.1,5.12928906111099,10.0667965971091)); #9856=CARTESIAN_POINT('',(4.1,5.08388283512336,9.97768186097042)); #9857=CARTESIAN_POINT('',(4.1,5.08388283512336,9.97768186097042)); #9858=CARTESIAN_POINT('',(4.1,5.26208413996104,9.88688376102251)); #9859=CARTESIAN_POINT('',(4.1,5.16811835174745,9.71033226528996)); #9860=CARTESIAN_POINT('',(4.1,7.02563007770607E-14,8.50014503228635E-14)); #9861=CARTESIAN_POINT('',(4.1,7.63803836699835,7.9158303357425)); #9862=CARTESIAN_POINT('',(4.1,7.77691180169435,8.05975451416897)); #9863=CARTESIAN_POINT('',(4.1,7.91833315793166,7.91833315793166)); #9864=CARTESIAN_POINT('',(4.1,7.98905501295366,7.98905501295366)); #9865=CARTESIAN_POINT('',(4.1,7.98905501295366,7.98905501295366)); #9866=CARTESIAN_POINT('',(4.1,8.13047636919102,7.8476336567164)); #9867=CARTESIAN_POINT('',(20.3,-1.15479921798386,8.72390043307142)); #9868=CARTESIAN_POINT('',(20.3,-1.33781818479866,8.80454817194888)); #9869=CARTESIAN_POINT('',(20.3,-2.2071582649536,9.18762495933781)); #9870=CARTESIAN_POINT('',(20.3,-3.60892765839351,8.72821523316664)); #9871=CARTESIAN_POINT('',(20.3,-4.09088489155793,7.90954727520048)); #9872=CARTESIAN_POINT('',(20.3,-4.19234963908382,7.73719616551589)); #9873=CARTESIAN_POINT('',(20.3,-6.06203220946715,6.37900975789995)); #9874=CARTESIAN_POINT('',(20.3,-6.25750121197372,6.33667928354531)); #9875=CARTESIAN_POINT('',(20.3,-7.18597900031557,6.13560965243256)); #9876=CARTESIAN_POINT('',(20.3,-8.05,4.94)); #9877=CARTESIAN_POINT('',(20.3,-7.95871063743913,3.99439635561022)); #9878=CARTESIAN_POINT('',(20.3,-7.9394919045646,3.79532189640789)); #9879=CARTESIAN_POINT('',(20.3,-8.65377493783061,1.59755416977793)); #9880=CARTESIAN_POINT('',(20.3,-8.7870314531451,1.44841429876337)); #9881=CARTESIAN_POINT('',(20.3,-9.42000000000001,0.740000000000002)); #9882=CARTESIAN_POINT('',(20.3,-9.41624595104314,-0.735127328742167)); #9883=CARTESIAN_POINT('',(20.3,-8.78657942863942,-1.44647820599192)); #9884=CARTESIAN_POINT('',(20.3,-8.65401811590635,-1.59623633888121)); #9885=CARTESIAN_POINT('',(20.3,-7.94006977093478,-3.79411281233014)); #9886=CARTESIAN_POINT('',(20.3,-7.96021433827648,-3.99309571714012)); #9887=CARTESIAN_POINT('',(20.3,-8.05590117370843,-4.93826450075763)); #9888=CARTESIAN_POINT('',(20.3,-7.18580599521639,-6.12946100396375)); #9889=CARTESIAN_POINT('',(20.3,-6.2582735512139,-6.33484738453164)); #9890=CARTESIAN_POINT('',(20.3,-6.06300354622919,-6.37808654679539)); #9891=CARTESIAN_POINT('',(20.3,-4.19352782458746,-7.73655765727954)); #9892=CARTESIAN_POINT('',(20.3,-4.09286591096739,-7.90937889193069)); #9893=CARTESIAN_POINT('',(20.3,-3.61472190907042,-8.73027980766289)); #9894=CARTESIAN_POINT('',(20.3,-2.21063238577973,-9.18254890838823)); #9895=CARTESIAN_POINT('',(20.3,-1.33951978921158,-8.8035200914095)); #9896=CARTESIAN_POINT('',(20.3,-1.15612769580363,-8.72372447702217)); #9897=CARTESIAN_POINT('',(20.3,1.15479921798387,-8.72390043307143)); #9898=CARTESIAN_POINT('',(20.3,1.33781819040721,-8.80454815922106)); #9899=CARTESIAN_POINT('',(20.3,2.2071582649536,-9.18762495933781)); #9900=CARTESIAN_POINT('',(20.3,3.60892765839351,-8.72821523316665)); #9901=CARTESIAN_POINT('',(20.3,4.09088500202177,-7.90954734023155)); #9902=CARTESIAN_POINT('',(20.3,4.19234963908382,-7.73719616551589)); #9903=CARTESIAN_POINT('',(20.3,6.06203220946715,-6.37900975789995)); #9904=CARTESIAN_POINT('',(20.3,6.25750121137094,-6.33667928076184)); #9905=CARTESIAN_POINT('',(20.3,7.18597900031556,-6.13560965243256)); #9906=CARTESIAN_POINT('',(20.3,8.05,-4.94)); #9907=CARTESIAN_POINT('',(20.3,7.95871064352206,-3.99439635502297)); #9908=CARTESIAN_POINT('',(20.3,7.93949190456459,-3.79532189640789)); #9909=CARTESIAN_POINT('',(20.3,8.6537749378306,-1.59755416977793)); #9910=CARTESIAN_POINT('',(20.3,8.78703147038181,-1.44841431416438)); #9911=CARTESIAN_POINT('',(20.3,9.41999999999999,-0.740000000000007)); #9912=CARTESIAN_POINT('',(20.3,9.41624595104314,0.735127328742167)); #9913=CARTESIAN_POINT('',(20.3,8.78657943054711,1.44647820768056)); #9914=CARTESIAN_POINT('',(20.3,8.65401811590633,1.59623633888121)); #9915=CARTESIAN_POINT('',(20.3,7.94006977093478,3.79411281233014)); #9916=CARTESIAN_POINT('',(20.3,7.96021437217087,3.99309571370872)); #9917=CARTESIAN_POINT('',(20.3,8.05590117370844,4.93826450075764)); #9918=CARTESIAN_POINT('',(20.3,7.18580599521639,6.12946100396374)); #9919=CARTESIAN_POINT('',(20.3,6.25827352350088,6.33484725937857)); #9920=CARTESIAN_POINT('',(20.3,6.06300354622919,6.37808654679539)); #9921=CARTESIAN_POINT('',(20.3,1.15612769580362,8.72372447702216)); #9922=CARTESIAN_POINT('',(20.3,1.33951984137088,8.8035199715331)); #9923=CARTESIAN_POINT('',(20.3,2.21063238577973,9.18254890838822)); #9924=CARTESIAN_POINT('',(20.3,3.61472190907042,8.73027980766288)); #9925=CARTESIAN_POINT('',(20.3,4.09286592623667,7.90937890082448)); #9926=CARTESIAN_POINT('',(20.3,4.19352782458745,7.73655765727954)); #9927=CARTESIAN_POINT('',(39.05,0.,0.)); #9928=CARTESIAN_POINT('',(39.05,0.,0.)); #9929=CARTESIAN_POINT('',(39.05,0.,0.)); #9930=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.61666667)); #9931=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.2)); #9932=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.2)); #9933=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.61666667)); #9934=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.61666667)); #9935=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.61666667)); #9936=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.2)); #9937=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.38055555576923)); #9938=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.38055555576923)); #9939=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.2)); #9940=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.38055555576923)); #9941=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.51944444576923)); #9942=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.51944444576923)); #9943=CARTESIAN_POINT('',(39.25,-2.94999999999999,-2.38055555576923)); #9944=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.51944444576923)); #9945=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.88055555423077)); #9946=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.88055555423077)); #9947=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.51944444576923)); #9948=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.88055555423077)); #9949=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.01944444423077)); #9950=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.01944444423077)); #9951=CARTESIAN_POINT('',(39.25,-2.61666666666668,-2.88055555423077)); #9952=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.01944444423077)); #9953=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.2)); #9954=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.2)); #9955=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.01944444423077)); #9956=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.2)); #9957=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.78333333)); #9958=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.78333333)); #9959=CARTESIAN_POINT('',(39.25,-2.94999999999999,-3.2)); #9960=CARTESIAN_POINT('',(39.25,-2.18333333997948,-2.7)); #9961=CARTESIAN_POINT('',(39.05,-2.18333333997948,-2.7)); #9962=CARTESIAN_POINT('',(39.05,-2.18333333997948,-2.7)); #9963=CARTESIAN_POINT('',(39.05,-2.44999999999999,-2.58888889076923)); #9964=CARTESIAN_POINT('',(39.25,-2.18333333997948,-2.7)); #9965=CARTESIAN_POINT('',(39.25,-2.18333333997948,-2.7)); #9966=CARTESIAN_POINT('',(39.25,-2.18333333997948,-2.7)); #9967=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.58888889076923)); #9968=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.58888889076923)); #9969=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.81111110923077)); #9970=CARTESIAN_POINT('',(39.05,-2.44999999999999,-2.81111110923077)); #9971=CARTESIAN_POINT('',(39.05,-2.44999999999999,-2.81111110923077)); #9972=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.81111110923077)); #9973=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.81111110923077)); #9974=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.81111110923077)); #9975=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.58888889076923)); #9976=CARTESIAN_POINT('',(39.05,-2.44999999999999,-2.58888889076923)); #9977=CARTESIAN_POINT('',(39.25,-2.44999999999999,-2.58888889076923)); #9978=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.78333333)); #9979=CARTESIAN_POINT('',(39.25,-1.94999999999999,-2.78333333)); #9980=CARTESIAN_POINT('',(39.25,0.,0.)); #9981=CARTESIAN_POINT('',(39.05,0.,0.)); #9982=CARTESIAN_POINT('',(39.25,-1.800694912,2.75726426500001)); #9983=CARTESIAN_POINT('',(39.25,-1.800694912,3.020570825)); #9984=CARTESIAN_POINT('',(39.25,-1.800694912,3.020570825)); #9985=CARTESIAN_POINT('',(39.25,-1.800694912,2.75726426500001)); #9986=CARTESIAN_POINT('',(39.25,-1.800694912,2.75726426500001)); #9987=CARTESIAN_POINT('',(39.25,-1.800694912,2.75726426500001)); #9988=CARTESIAN_POINT('',(39.25,-1.800694912,3.020570825)); #9989=CARTESIAN_POINT('',(39.25,-2.8,3.020570825)); #9990=CARTESIAN_POINT('',(39.25,-2.8,3.020570825)); #9991=CARTESIAN_POINT('',(39.25,-1.800694912,3.020570825)); #9992=CARTESIAN_POINT('',(39.25,-2.8,3.020570825)); #9993=CARTESIAN_POINT('',(39.25,-2.8,2.75726426500001)); #9994=CARTESIAN_POINT('',(39.25,-2.8,2.75726426500001)); #9995=CARTESIAN_POINT('',(39.25,-2.8,3.020570825)); #9996=CARTESIAN_POINT('',(39.25,-2.52554158766622,2.74588999492013)); #9997=CARTESIAN_POINT('',(39.25,-2.300347456,2.58858647562153)); #9998=CARTESIAN_POINT('',(39.25,-2.300347456,2.58858647562153)); #9999=CARTESIAN_POINT('',(39.25,-2.52554158766622,2.74588999492013)); #10000=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.75726426499997)); #10001=CARTESIAN_POINT('',(39.05,-2.34423188266667,2.75726426499997)); #10002=CARTESIAN_POINT('',(39.05,-2.34423188266667,2.75726426499997)); #10003=CARTESIAN_POINT('',(39.05,-2.34423188266667,2.93280197166666)); #10004=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.75726426499997)); #10005=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.75726426499997)); #10006=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.75726426499997)); #10007=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.93280197166666)); #10008=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.93280197166666)); #10009=CARTESIAN_POINT('',(39.25,-2.52823151466667,2.74859838297352)); #10010=CARTESIAN_POINT('',(39.05,-2.52823151466667,2.74859838297352)); #10011=CARTESIAN_POINT('',(39.05,-2.71223114666666,2.7572642650001)); #10012=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.7572642650001)); #10013=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.7572642650001)); #10014=CARTESIAN_POINT('',(39.25,-2.52823151466667,2.74859838297352)); #10015=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.93280197166666)); #10016=CARTESIAN_POINT('',(39.05,-2.71223114666666,2.93280197166666)); #10017=CARTESIAN_POINT('',(39.05,-2.71223114666666,2.93280197166666)); #10018=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.93280197166666)); #10019=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.93280197166666)); #10020=CARTESIAN_POINT('',(39.25,-2.71223114666666,2.93280197166666)); #10021=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.93280197166666)); #10022=CARTESIAN_POINT('',(39.05,-1.88846376533334,2.93280197166666)); #10023=CARTESIAN_POINT('',(39.05,-1.88846376533334,2.93280197166666)); #10024=CARTESIAN_POINT('',(39.05,-2.25646302933333,2.93280197166666)); #10025=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.93280197166666)); #10026=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.93280197166666)); #10027=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.93280197166666)); #10028=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.93280197166666)); #10029=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.93280197166666)); #10030=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.7572642650001)); #10031=CARTESIAN_POINT('',(39.05,-1.88846376533334,2.7572642650001)); #10032=CARTESIAN_POINT('',(39.05,-1.88846376533334,2.7572642650001)); #10033=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.7572642650001)); #10034=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.7572642650001)); #10035=CARTESIAN_POINT('',(39.25,-1.88846376533334,2.7572642650001)); #10036=CARTESIAN_POINT('',(39.25,-2.07246339733333,2.74859838297352)); #10037=CARTESIAN_POINT('',(39.05,-2.07246339733333,2.74859838297352)); #10038=CARTESIAN_POINT('',(39.05,-2.25646302933333,2.75726426499997)); #10039=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.75726426499997)); #10040=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.75726426499997)); #10041=CARTESIAN_POINT('',(39.25,-2.07246339733333,2.74859838297352)); #10042=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.93280197166666)); #10043=CARTESIAN_POINT('',(39.05,-2.34423188266667,2.93280197166666)); #10044=CARTESIAN_POINT('',(39.25,-2.34423188266667,2.93280197166666)); #10045=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.93280197166666)); #10046=CARTESIAN_POINT('',(39.05,-2.25646302933333,2.93280197166666)); #10047=CARTESIAN_POINT('',(39.25,-2.25646302933333,2.93280197166666)); #10048=CARTESIAN_POINT('',(39.25,-2.07515332433378,2.74588999492013)); #10049=CARTESIAN_POINT('',(39.25,-2.07515332433378,2.74588999492013)); #10050=CARTESIAN_POINT('',(39.25,-2.52554158766622,2.74588999492013)); #10051=CARTESIAN_POINT('',(39.05,0.,0.)); #10052=CARTESIAN_POINT('',(39.05,0.,0.)); #10053=CARTESIAN_POINT('',(0.,7.59396739791272,2.17753511095429)); #10054=CARTESIAN_POINT('',(7.4,3.82999599994606,6.90949568640123)); #10055=CARTESIAN_POINT('',(0.,8.07139404022876,2.31443499767674)); #10056=CARTESIAN_POINT('',(7.4,4.07078477800174,7.34389014094711)); #10057=CARTESIAN_POINT('',(0.,8.54882068254478,2.45133488439918)); #10058=CARTESIAN_POINT('',(7.4,4.31157355605743,7.77828459549304)); #10059=CARTESIAN_POINT('',(0.,9.02624732486082,2.58823477112162)); #10060=CARTESIAN_POINT('',(7.4,4.55236233411311,8.21267905003893)); #10061=CARTESIAN_POINT('',(7.4,3.82999599994606,6.90949568640123)); #10062=CARTESIAN_POINT('',(7.4,3.82999599994606,6.90949568640123)); #10063=CARTESIAN_POINT('',(7.4,4.5523623341131,8.21267905003893)); #10064=CARTESIAN_POINT('',(3.7,6.78930482948696,5.40045691058027)); #10065=CARTESIAN_POINT('',(3.7,5.71198169892939,4.54351539867776)); #10066=CARTESIAN_POINT('',(0.,2.30973646730962,-7.55480757210798)); #10067=CARTESIAN_POINT('',(7.4,6.97528598358552,-3.70882534600854)); #10068=CARTESIAN_POINT('',(0.,4.2708619756811,-6.95523133400761)); #10069=CARTESIAN_POINT('',(7.4,7.93804670551382,-1.89813577648205)); #10070=CARTESIAN_POINT('',(0.,5.96675339531435,-5.56694171918511)); #10071=CARTESIAN_POINT('',(7.4,8.15555402760072,0.28270954738855)); #10072=CARTESIAN_POINT('',(0.,7.9185569847447,-1.97216669291671)); #10073=CARTESIAN_POINT('',(7.4,6.99379778331672,4.20473289893343)); #10074=CARTESIAN_POINT('',(0.,8.1592259582013,0.206244243299193)); #10075=CARTESIAN_POINT('',(7.4,5.62360730125989,5.91528070729499)); #10076=CARTESIAN_POINT('',(0.,7.59396739791272,2.17753511095429)); #10077=CARTESIAN_POINT('',(7.4,3.82999599994606,6.90949568640123)); #10078=CARTESIAN_POINT('',(3.7,4.64251122544757,-5.63181645905826)); #10079=CARTESIAN_POINT('',(7.4,6.97528598358552,-3.70882534600854)); #10080=CARTESIAN_POINT('',(7.4,-3.46944695195361E-15,-1.40946282423116E-15)); #10081=CARTESIAN_POINT('',(0.,2.7453703073465,-8.97970165849291)); #10082=CARTESIAN_POINT('',(7.4,8.29087789694533,-4.40833797455952)); #10083=CARTESIAN_POINT('',(0.,2.6001590273342,-8.50473696303125)); #10084=CARTESIAN_POINT('',(7.4,7.85234725915873,-4.17516709837586)); #10085=CARTESIAN_POINT('',(0.,2.45494774732192,-8.02977226756963)); #10086=CARTESIAN_POINT('',(7.4,7.41381662137212,-3.94199622219219)); #10087=CARTESIAN_POINT('',(0.,2.30973646730962,-7.55480757210798)); #10088=CARTESIAN_POINT('',(7.4,6.97528598358552,-3.70882534600854)); #10089=CARTESIAN_POINT('',(3.7,5.51812410214591,-6.69401981652621)); #10090=CARTESIAN_POINT('',(7.4,8.29087789694533,-4.40833797455951)); #10091=CARTESIAN_POINT('',(7.4,8.29087789694532,-4.40833797455951)); #10092=CARTESIAN_POINT('',(0.,-2.27164659968088,-9.11107686973161)); #10093=CARTESIAN_POINT('',(7.4,4.83620752340541,-8.04880095359283)); #10094=CARTESIAN_POINT('',(0.,-0.625484527508042,-9.52151117154265)); #10095=CARTESIAN_POINT('',(7.4,6.29044076561308,-7.17500946746948)); #10096=CARTESIAN_POINT('',(0.,1.1229447147421,-9.47572694053582)); #10097=CARTESIAN_POINT('',(7.4,7.49439259901666,-5.9063089536332)); #10098=CARTESIAN_POINT('',(0.,2.7453703073465,-8.9797016584929)); #10099=CARTESIAN_POINT('',(7.4,8.29087789694533,-4.40833797455951)); #10100=CARTESIAN_POINT('',(3.7,1.28228046186227,-8.57993891166222)); #10101=CARTESIAN_POINT('',(7.4,4.83620752340541,-8.04880095359284)); #10102=CARTESIAN_POINT('',(7.4,-4.9439619065339E-14,4.42354486374086E-14)); #10103=CARTESIAN_POINT('',(0.,-1.91118297523737,-7.66533623758037)); #10104=CARTESIAN_POINT('',(7.4,4.06880079178943,-6.77162167554669)); #10105=CARTESIAN_POINT('',(0.,-2.03133751671854,-8.14724978163078)); #10106=CARTESIAN_POINT('',(7.4,4.32460303566142,-7.19734810156207)); #10107=CARTESIAN_POINT('',(0.,-2.15149205819971,-8.6291633256812)); #10108=CARTESIAN_POINT('',(7.4,4.58040527953342,-7.62307452757745)); #10109=CARTESIAN_POINT('',(0.,-2.27164659968088,-9.11107686973161)); #10110=CARTESIAN_POINT('',(7.4,4.83620752340541,-8.04880095359283)); #10111=CARTESIAN_POINT('',(3.7,1.07880890827603,-7.21847895656353)); #10112=CARTESIAN_POINT('',(7.4,4.06880079178943,-6.77162167554669)); #10113=CARTESIAN_POINT('',(7.4,4.06880079178943,-6.77162167554669)); #10114=CARTESIAN_POINT('',(0.,-7.69752351180336,1.77711332931654)); #10115=CARTESIAN_POINT('',(7.4,-6.69957995963577,-4.18636218744232)); #10116=CARTESIAN_POINT('',(0.,-8.15883801228867,-0.221059299993037)); #10117=CARTESIAN_POINT('',(7.4,-5.61285715502247,-5.92548221516131)); #10118=CARTESIAN_POINT('',(0.,-7.8044896478589,-2.38388915886673)); #10119=CARTESIAN_POINT('',(7.4,-3.83294336386948,-7.204271743533)); #10120=CARTESIAN_POINT('',(0.,-5.66722494893576,-5.87158816364526)); #10121=CARTESIAN_POINT('',(7.4,0.144506614946173,-8.1591729987503)); #10122=CARTESIAN_POINT('',(0.,-3.90100022501926,-7.16921907666935)); #10123=CARTESIAN_POINT('',(7.4,2.3109797124035,-7.82782713744622)); #10124=CARTESIAN_POINT('',(0.,-1.91118297523737,-7.66533623758037)); #10125=CARTESIAN_POINT('',(7.4,4.06880079178943,-6.77162167554669)); #10126=CARTESIAN_POINT('',(3.7,-7.19855173571956,-1.20462442906289)); #10127=CARTESIAN_POINT('',(7.4,-6.69957995963577,-4.18636218744232)); #10128=CARTESIAN_POINT('',(7.4,-2.60208521396521E-15,-1.12757025938492E-14)); #10129=CARTESIAN_POINT('',(0.,-9.14933490833336,2.1122904002889)); #10130=CARTESIAN_POINT('',(7.4,-7.96317162290884,-4.97594189114979)); #10131=CARTESIAN_POINT('',(0.,-8.6653977761567,2.00056470996478)); #10132=CARTESIAN_POINT('',(7.4,-7.54197440181781,-4.71274865658063)); #10133=CARTESIAN_POINT('',(0.,-8.18146064398002,1.88883901964066)); #10134=CARTESIAN_POINT('',(7.4,-7.1207771807268,-4.44955542201148)); #10135=CARTESIAN_POINT('',(0.,-7.69752351180336,1.77711332931654)); #10136=CARTESIAN_POINT('',(7.4,-6.69957995963577,-4.18636218744232)); #10137=CARTESIAN_POINT('',(3.7,-8.5562532656211,-1.43182574543045)); #10138=CARTESIAN_POINT('',(7.4,-7.96317162290884,-4.97594189114979)); #10139=CARTESIAN_POINT('',(7.4,-7.96317162290884,-4.97594189114979)); #10140=CARTESIAN_POINT('',(0.,-6.75460072517993,6.52284209860999)); #10141=CARTESIAN_POINT('',(7.4,-9.38856985751852,-0.163878096446089)); #10142=CARTESIAN_POINT('',(0.,-7.93312829321925,5.3024410762674)); #10143=CARTESIAN_POINT('',(7.4,-9.35896085402897,-1.8601767702874)); #10144=CARTESIAN_POINT('',(0.,-8.76769260719966,3.76536482025579)); #10145=CARTESIAN_POINT('',(7.4,-8.86220989595417,-3.53717989986592)); #10146=CARTESIAN_POINT('',(0.,-9.14933490833336,2.1122904002889)); #10147=CARTESIAN_POINT('',(7.4,-7.96317162290885,-4.97594189114979)); #10148=CARTESIAN_POINT('',(3.7,-8.07158529134922,3.17948200108195)); #10149=CARTESIAN_POINT('',(7.4,-9.38856985751851,-0.163878096446089)); #10150=CARTESIAN_POINT('',(7.4,1.04083408558608E-14,2.16840434497101E-16)); #10151=CARTESIAN_POINT('',(0.,-5.68278442267534,5.48780112662608)); #10152=CARTESIAN_POINT('',(7.4,-7.89879679173549,-0.137874010854537)); #10153=CARTESIAN_POINT('',(0.,-6.0400565235102,5.83281478395405)); #10154=CARTESIAN_POINT('',(7.4,-8.39538781366316,-0.146542039385054)); #10155=CARTESIAN_POINT('',(0.,-6.39732862434507,6.17782844128202)); #10156=CARTESIAN_POINT('',(7.4,-8.89197883559084,-0.155210067915571)); #10157=CARTESIAN_POINT('',(0.,-6.75460072517993,6.52284209860999)); #10158=CARTESIAN_POINT('',(7.4,-9.38856985751851,-0.163878096446088)); #10159=CARTESIAN_POINT('',(3.7,-6.79079060720541,2.67496355788578)); #10160=CARTESIAN_POINT('',(7.4,-7.89879679173549,-0.137874010854535)); #10161=CARTESIAN_POINT('',(7.4,-7.89879679173549,-0.137874010854537)); #10162=CARTESIAN_POINT('',(0.,5.38778704449374,5.77769424279145)); #10163=CARTESIAN_POINT('',(7.4,-0.275706023949754,7.89518753345086)); #10164=CARTESIAN_POINT('',(0.,3.88797603660757,7.17629063400063)); #10165=CARTESIAN_POINT('',(7.4,-2.32518955049135,7.82361799164336)); #10166=CARTESIAN_POINT('',(0.,1.83773625254455,7.95083087805184)); #10167=CARTESIAN_POINT('',(7.4,-4.32261066373124,6.92156219614445)); #10168=CARTESIAN_POINT('',(0.,-2.25133203580893,7.84375485656197)); #10169=CARTESIAN_POINT('',(7.4,-7.13830439826289,3.95444009981687)); #10170=CARTESIAN_POINT('',(0.,-4.25822573318204,6.96297483337015)); #10171=CARTESIAN_POINT('',(7.4,-7.93458701366339,1.91254643015122)); #10172=CARTESIAN_POINT('',(0.,-5.68278442267534,5.48780112662609)); #10173=CARTESIAN_POINT('',(7.4,-7.89879679173549,-0.137874010854531)); #10174=CARTESIAN_POINT('',(3.7,2.55604051027199,6.83644088812115)); #10175=CARTESIAN_POINT('',(7.4,-0.275706023949756,7.89518753345086)); #10176=CARTESIAN_POINT('',(7.4,-8.67361737988404E-16,-1.73472347597681E-15)); #10177=CARTESIAN_POINT('',(0.,6.40396460098686,6.86741125820401)); #10178=CARTESIAN_POINT('',(7.4,-0.327706274036483,9.38427986570931)); #10179=CARTESIAN_POINT('',(0.,6.06523874882249,6.50417225306649)); #10180=CARTESIAN_POINT('',(7.4,-0.310372857340908,8.8879157549565)); #10181=CARTESIAN_POINT('',(0.,5.72651289665811,6.14093324792896)); #10182=CARTESIAN_POINT('',(7.4,-0.293039440645331,8.39155164420367)); #10183=CARTESIAN_POINT('',(0.,5.38778704449374,5.77769424279145)); #10184=CARTESIAN_POINT('',(7.4,-0.275706023949756,7.89518753345086)); #10185=CARTESIAN_POINT('',(3.7,3.0381291634752,8.12584556195666)); #10186=CARTESIAN_POINT('',(7.4,-0.327706274036478,9.38427986570931)); #10187=CARTESIAN_POINT('',(7.4,-0.327706274036483,9.38427986570931)); #10188=CARTESIAN_POINT('',(0.,9.02624732486082,2.58823477112162)); #10189=CARTESIAN_POINT('',(7.4,4.5523623341131,8.21267905003893)); #10190=CARTESIAN_POINT('',(0.,8.55861282072729,4.21907009527525)); #10191=CARTESIAN_POINT('',(7.4,3.0685200884159,9.03518623775689)); #10192=CARTESIAN_POINT('',(0.,7.64474789245757,5.71036212028003)); #10193=CARTESIAN_POINT('',(7.4,1.3678172969375,9.44348885349911)); #10194=CARTESIAN_POINT('',(0.,6.40396460098686,6.86741125820401)); #10195=CARTESIAN_POINT('',(7.4,-0.327706274036472,9.38427986570931)); #10196=CARTESIAN_POINT('',(7.4,-2.29850860566927E-14,-7.63278329429795E-14)); #10197=CARTESIAN_POINT('',(7.4,-2.29850860566927E-14,-7.63278329429795E-14)); #10198=CARTESIAN_POINT('',(7.4,-1.73472347597681E-15,-3.90312782094782E-15)); #10199=CARTESIAN_POINT('',(7.4,5.98853070460526,1.4)); #10200=CARTESIAN_POINT('',(7.4,0.014047033477515,6.1499839577718)); #10201=CARTESIAN_POINT('',(7.4,0.0140470334775147,6.1499839577718)); #10202=CARTESIAN_POINT('',(7.4,-0.0340655582622856,6.69991339777913)); #10203=CARTESIAN_POINT('',(7.4,-2.18466737755829E-14,5.46437894932694E-14)); #10204=CARTESIAN_POINT('',(7.4,-1.12987972616321,6.60404208075671)); #10205=CARTESIAN_POINT('',(7.4,-1.12987972616321,6.60404208075671)); #10206=CARTESIAN_POINT('',(7.4,-1.08176713442341,6.05411264074938)); #10207=CARTESIAN_POINT('',(7.4,-2.16840434497101E-15,1.04083408558608E-14)); #10208=CARTESIAN_POINT('',(7.4,-4.70213177704975,3.96389413976445)); #10209=CARTESIAN_POINT('',(7.4,-4.70213177704975,3.96389413976445)); #10210=CARTESIAN_POINT('',(7.4,-5.15432834651515,4.2805255864567)); #10211=CARTESIAN_POINT('',(7.4,5.3776427755281E-13,-3.8597597340484E-13)); #10212=CARTESIAN_POINT('',(7.4,-5.7852624265013,3.37945833773881)); #10213=CARTESIAN_POINT('',(7.4,-5.7852624265013,3.3794583377388)); #10214=CARTESIAN_POINT('',(7.4,-5.3330658570359,3.06282689104656)); #10215=CARTESIAN_POINT('',(7.4,-3.46944695195361E-15,-2.16840434497101E-16)); #10216=CARTESIAN_POINT('',(7.4,-5.3330658570359,-3.06282689104656)); #10217=CARTESIAN_POINT('',(7.4,-5.3330658570359,-3.06282689104656)); #10218=CARTESIAN_POINT('',(7.4,-5.7852624265013,-3.3794583377388)); #10219=CARTESIAN_POINT('',(7.4,3.15719672627779E-13,2.15539391890118E-13)); #10220=CARTESIAN_POINT('',(7.4,-5.15432834651514,-4.2805255864567)); #10221=CARTESIAN_POINT('',(7.4,-5.15432834651515,-4.2805255864567)); #10222=CARTESIAN_POINT('',(7.4,-4.70213177704975,-3.96389413976445)); #10223=CARTESIAN_POINT('',(7.4,-5.20417042793042E-15,-1.04083408558608E-14)); #10224=CARTESIAN_POINT('',(7.4,-2.11661828839043,-5.7742901746666)); #10225=CARTESIAN_POINT('',(7.4,-2.11661828839043,-5.7742901746666)); #10226=CARTESIAN_POINT('',(7.4,-2.25949418685596,-6.30751028691703)); #10227=CARTESIAN_POINT('',(7.4,-2.99239799605999E-14,-7.89299181569447E-14)); #10228=CARTESIAN_POINT('',(7.4,-1.19697577793799,-6.5922112365298)); #10229=CARTESIAN_POINT('',(7.4,-1.19697577793799,-6.5922112365298)); #10230=CARTESIAN_POINT('',(7.4,-1.05409987947245,-6.05899112427937)); #10231=CARTESIAN_POINT('',(7.4,-1.30104260698261E-15,3.46944695195361E-15)); #10232=CARTESIAN_POINT('',(7.4,5.98853070460526,-1.4)); #10233=CARTESIAN_POINT('',(7.4,5.98853070460526,-1.4)); #10234=CARTESIAN_POINT('',(7.4,6.55209890035247,-1.4)); #10235=CARTESIAN_POINT('',(7.4,-8.67361737988404E-16,1.95156391047391E-15)); #10236=CARTESIAN_POINT('',(7.4,6.55209890035247,1.4)); #10237=CARTESIAN_POINT('',(7.4,6.55209890035247,1.4)); #10238=CARTESIAN_POINT('',(0.9,0.0140470334775147,6.1499839577718)); #10239=CARTESIAN_POINT('',(0.9,0.014047033477515,6.1499839577718)); #10240=CARTESIAN_POINT('',(0.9,-0.0340655582622856,6.69991339777913)); #10241=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #10242=CARTESIAN_POINT('',(0.9,-1.12987972616321,6.60404208075671)); #10243=CARTESIAN_POINT('',(0.9,-1.12987972616321,6.60404208075671)); #10244=CARTESIAN_POINT('',(0.9,-1.08176713442341,6.05411264074938)); #10245=CARTESIAN_POINT('',(0.9,-2.16840434497101E-15,1.04083408558608E-14)); #10246=CARTESIAN_POINT('',(0.9,5.98853070460526,1.4)); #10247=CARTESIAN_POINT('',(0.9,5.98853070460526,-1.4)); #10248=CARTESIAN_POINT('',(0.9,-1.05409987947245,-6.05899112427937)); #10249=CARTESIAN_POINT('',(0.9,-1.05409987947245,-6.05899112427937)); #10250=CARTESIAN_POINT('',(0.9,0.,0.)); #10251=CARTESIAN_POINT('',(0.9,-2.11661828839043,-5.77429017466659)); #10252=CARTESIAN_POINT('',(0.9,-2.11661828839043,-5.7742901746666)); #10253=CARTESIAN_POINT('',(0.9,-4.70213177704975,-3.96389413976445)); #10254=CARTESIAN_POINT('',(0.9,-5.3330658570359,-3.06282689104656)); #10255=CARTESIAN_POINT('',(0.9,-5.3330658570359,3.06282689104656)); #10256=CARTESIAN_POINT('',(0.9,-4.70213177704975,3.96389413976445)); #10257=CARTESIAN_POINT('',(0.,0.,0.)); #10258=CARTESIAN_POINT('',(0.,0.,-6.15)); #10259=CARTESIAN_POINT('',(0.9,-4.70213177704975,3.96389413976445)); #10260=CARTESIAN_POINT('',(0.9,-5.15432834651515,4.2805255864567)); #10261=CARTESIAN_POINT('',(0.9,5.3776427755281E-13,-3.8597597340484E-13)); #10262=CARTESIAN_POINT('',(0.9,-5.7852624265013,3.37945833773881)); #10263=CARTESIAN_POINT('',(0.9,-5.7852624265013,3.3794583377388)); #10264=CARTESIAN_POINT('',(0.9,-5.3330658570359,-3.06282689104656)); #10265=CARTESIAN_POINT('',(0.9,-5.7852624265013,-3.3794583377388)); #10266=CARTESIAN_POINT('',(0.9,3.15719672627779E-13,2.15539391890118E-13)); #10267=CARTESIAN_POINT('',(0.9,-5.15432834651514,-4.2805255864567)); #10268=CARTESIAN_POINT('',(0.9,-5.15432834651515,-4.2805255864567)); #10269=CARTESIAN_POINT('',(0.9,-2.11661828839043,-5.7742901746666)); #10270=CARTESIAN_POINT('',(0.9,-2.11661828839043,-5.7742901746666)); #10271=CARTESIAN_POINT('',(0.9,-2.25949418685596,-6.30751028691703)); #10272=CARTESIAN_POINT('',(0.9,-2.25949418685596,-6.30751028691703)); #10273=CARTESIAN_POINT('',(0.9,-2.99239799605999E-14,-7.89299181569447E-14)); #10274=CARTESIAN_POINT('',(0.9,-2.99239799605999E-14,-7.89299181569447E-14)); #10275=CARTESIAN_POINT('',(0.9,-1.19697577793799,-6.5922112365298)); #10276=CARTESIAN_POINT('',(0.9,-1.19697577793799,-6.5922112365298)); #10277=CARTESIAN_POINT('',(0.9,-1.19697577793799,-6.5922112365298)); #10278=CARTESIAN_POINT('',(0.9,-1.19697577793799,-6.5922112365298)); #10279=CARTESIAN_POINT('',(0.9,5.98853070460526,-1.4)); #10280=CARTESIAN_POINT('',(0.9,6.55209890035247,-1.4)); #10281=CARTESIAN_POINT('',(0.9,-8.67361737988404E-16,1.95156391047391E-15)); #10282=CARTESIAN_POINT('',(0.9,6.55209890035247,1.4)); #10283=CARTESIAN_POINT('',(0.9,6.55209890035247,1.4)); #10284=CARTESIAN_POINT('',(0.9,-2.18466737755829E-14,5.46437894932694E-14)); #10285=CARTESIAN_POINT('',(0.,0.,0.)); #10286=CARTESIAN_POINT('',(0.,0.,0.)); #10287=CARTESIAN_POINT('',(0.,0.,-5.6)); #10288=CARTESIAN_POINT('',(9.,0.,0.)); #10289=CARTESIAN_POINT('',(9.,0.,0.)); #10290=CARTESIAN_POINT('',(9.,0.,-5.6)); #10291=CARTESIAN_POINT('',(9.,5.6,0.)); #10292=CARTESIAN_POINT('',(9.,0.,0.)); #10293=CARTESIAN_POINT('',(9.,0.,-5.2)); #10294=CARTESIAN_POINT('',(9.,0.,0.)); #10295=CARTESIAN_POINT('',(0.6,0.,0.)); #10296=CARTESIAN_POINT('',(0.6,0.,-5.2)); #10297=CARTESIAN_POINT('',(0.6,5.2,0.)); #10298=CARTESIAN_POINT('',(0.6,3.,0.)); #10299=CARTESIAN_POINT('',(0.6,3.,1.4)); #10300=CARTESIAN_POINT('',(0.6,-3.73,-1.86)); #10301=CARTESIAN_POINT('',(0.6,-3.73,-1.86)); #10302=CARTESIAN_POINT('',(0.6,-3.73,-2.)); #10303=CARTESIAN_POINT('',(0.6,-3.73,-1.72)); #10304=CARTESIAN_POINT('',(0.6,-3.90985401459854,-1.50540145985401)); #10305=CARTESIAN_POINT('',(0.6,-3.90985401459854,-1.50540145985401)); #10306=CARTESIAN_POINT('',(0.6,-4.36,-1.8282119205298)); #10307=CARTESIAN_POINT('',(0.6,-4.36,-1.8282119205298)); #10308=CARTESIAN_POINT('',(0.6,-4.36,-1.44)); #10309=CARTESIAN_POINT('',(0.6,-4.36,-1.44)); #10310=CARTESIAN_POINT('',(0.6,-4.5,-1.44)); #10311=CARTESIAN_POINT('',(0.6,-4.5,-1.44)); #10312=CARTESIAN_POINT('',(0.6,-4.5,-2.)); #10313=CARTESIAN_POINT('',(0.6,-4.5,-2.)); #10314=CARTESIAN_POINT('',(0.6,-4.36,-2.)); #10315=CARTESIAN_POINT('',(0.6,-4.36,-2.)); #10316=CARTESIAN_POINT('',(0.6,-3.81992700729927,-1.61270072992701)); #10317=CARTESIAN_POINT('',(0.6,-3.73,-1.72)); #10318=CARTESIAN_POINT('',(0.6,4.05,-1.775)); #10319=CARTESIAN_POINT('',(0.6,4.05,-1.775)); #10320=CARTESIAN_POINT('',(0.6,3.,-1.775)); #10321=CARTESIAN_POINT('',(0.6,3.,-1.775)); #10322=CARTESIAN_POINT('',(0.6,3.,-1.95)); #10323=CARTESIAN_POINT('',(0.6,3.,-1.95)); #10324=CARTESIAN_POINT('',(0.6,3.80251262658471,-1.95)); #10325=CARTESIAN_POINT('',(0.6,3.80251262658471,-1.95)); #10326=CARTESIAN_POINT('',(0.6,3.62751262658471,-2.125)); #10327=CARTESIAN_POINT('',(0.6,3.62751262658471,-2.125)); #10328=CARTESIAN_POINT('',(0.6,3.875,-2.125)); #10329=CARTESIAN_POINT('',(0.6,3.875,-2.125)); #10330=CARTESIAN_POINT('',(0.6,4.05,-1.95)); #10331=CARTESIAN_POINT('',(0.6,4.05,-1.95)); #10332=CARTESIAN_POINT('',(0.6,-2.07515332433378,3.76863853507986)); #10333=CARTESIAN_POINT('',(0.6,-1.800694912,3.75726426499993)); #10334=CARTESIAN_POINT('',(0.6,-2.300347456,3.92594205437846)); #10335=CARTESIAN_POINT('',(0.6,-2.52554158766622,3.76863853507986)); #10336=CARTESIAN_POINT('',(0.6,-2.8,3.75726426499993)); #10337=CARTESIAN_POINT('',(0.6,-2.8,3.75726426499993)); #10338=CARTESIAN_POINT('',(0.6,-2.8,3.493957705)); #10339=CARTESIAN_POINT('',(0.6,-2.8,3.493957705)); #10340=CARTESIAN_POINT('',(0.6,-1.800694912,3.493957705)); #10341=CARTESIAN_POINT('',(0.6,-1.800694912,3.493957705)); #10342=CARTESIAN_POINT('',(0.6,-2.04999999999999,-3.41666667)); #10343=CARTESIAN_POINT('',(0.6,-2.04999999999999,-3.41666667)); #10344=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.)); #10345=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.)); #10346=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.18055555576923)); #10347=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.18055555576923)); #10348=CARTESIAN_POINT('',(0.6,-2.71666666666668,-3.31944444576923)); #10349=CARTESIAN_POINT('',(0.6,-2.71666666666668,-3.31944444576923)); #10350=CARTESIAN_POINT('',(0.6,-2.71666666666668,-3.68055555423077)); #10351=CARTESIAN_POINT('',(0.6,-2.71666666666668,-3.68055555423077)); #10352=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.81944444423077)); #10353=CARTESIAN_POINT('',(0.6,-3.04999999999999,-3.81944444423077)); #10354=CARTESIAN_POINT('',(0.6,-3.04999999999999,-4.)); #10355=CARTESIAN_POINT('',(0.6,-3.04999999999999,-4.)); #10356=CARTESIAN_POINT('',(0.6,-2.04999999999999,-3.58333333)); #10357=CARTESIAN_POINT('',(0.6,-2.04999999999999,-3.58333333)); #10358=CARTESIAN_POINT('',(0.6,0.,2.975)); #10359=CARTESIAN_POINT('',(0.6,0.,4.775)); #10360=CARTESIAN_POINT('',(0.6,0.,-2.975)); #10361=CARTESIAN_POINT('',(0.6,0.,-1.175)); #10362=CARTESIAN_POINT('',(0.6,-3.,0.)); #10363=CARTESIAN_POINT('',(0.6,-3.,1.4)); #10364=CARTESIAN_POINT('',(39.25,3.375,2.125)); #10365=CARTESIAN_POINT('',(39.25,3.12751262658471,2.125)); #10366=CARTESIAN_POINT('',(39.25,3.12751262658471,2.125)); #10367=CARTESIAN_POINT('',(39.25,3.375,2.125)); #10368=CARTESIAN_POINT('',(39.25,3.375,2.125)); #10369=CARTESIAN_POINT('',(39.25,3.375,2.125)); #10370=CARTESIAN_POINT('',(39.25,3.12751262658471,2.125)); #10371=CARTESIAN_POINT('',(39.25,3.30251262658471,1.95)); #10372=CARTESIAN_POINT('',(39.25,3.30251262658471,1.95)); #10373=CARTESIAN_POINT('',(39.25,3.12751262658471,2.125)); #10374=CARTESIAN_POINT('',(39.25,3.30251262658471,1.95)); #10375=CARTESIAN_POINT('',(39.25,2.5,1.95)); #10376=CARTESIAN_POINT('',(39.25,2.5,1.95)); #10377=CARTESIAN_POINT('',(39.25,3.30251262658471,1.95)); #10378=CARTESIAN_POINT('',(39.25,2.5,1.95)); #10379=CARTESIAN_POINT('',(39.25,2.5,1.775)); #10380=CARTESIAN_POINT('',(39.25,2.5,1.775)); #10381=CARTESIAN_POINT('',(39.25,2.5,1.95)); #10382=CARTESIAN_POINT('',(39.25,2.5,1.775)); #10383=CARTESIAN_POINT('',(39.25,3.55,1.775)); #10384=CARTESIAN_POINT('',(39.25,3.55,1.775)); #10385=CARTESIAN_POINT('',(39.25,2.5,1.775)); #10386=CARTESIAN_POINT('',(39.25,3.55,1.775)); #10387=CARTESIAN_POINT('',(39.25,3.55,1.95)); #10388=CARTESIAN_POINT('',(39.25,3.55,1.95)); #10389=CARTESIAN_POINT('',(39.25,3.55,1.775)); #10390=CARTESIAN_POINT('',(39.25,3.55,1.95)); #10391=CARTESIAN_POINT('',(39.25,3.55,1.95)); #10392=CARTESIAN_POINT('',(39.25,0.,0.)); #10393=CARTESIAN_POINT('',(39.25,-3.13,1.92)); #10394=CARTESIAN_POINT('',(39.25,-3.13,2.2)); #10395=CARTESIAN_POINT('',(39.25,-3.13,2.2)); #10396=CARTESIAN_POINT('',(39.25,-3.13,1.92)); #10397=CARTESIAN_POINT('',(39.25,-3.30985401459855,1.70540145985402)); #10398=CARTESIAN_POINT('',(39.25,-3.30985401459855,1.70540145985402)); #10399=CARTESIAN_POINT('',(39.25,-3.13,2.2)); #10400=CARTESIAN_POINT('',(39.25,-3.13,2.06)); #10401=CARTESIAN_POINT('',(39.25,-3.13,2.06)); #10402=CARTESIAN_POINT('',(39.25,-3.13,2.2)); #10403=CARTESIAN_POINT('',(39.25,-3.13,1.92)); #10404=CARTESIAN_POINT('',(39.25,-3.21992700729927,1.81270072992701)); #10405=CARTESIAN_POINT('',(39.25,-3.21992700729927,1.81270072992701)); #10406=CARTESIAN_POINT('',(39.25,-3.13,1.92)); #10407=CARTESIAN_POINT('',(39.25,-3.21992700729927,1.81270072992701)); #10408=CARTESIAN_POINT('',(39.25,-3.76,2.2)); #10409=CARTESIAN_POINT('',(39.25,-3.76,2.2)); #10410=CARTESIAN_POINT('',(39.25,-3.21992700729927,1.81270072992701)); #10411=CARTESIAN_POINT('',(39.25,-3.76,2.2)); #10412=CARTESIAN_POINT('',(39.25,-3.9,2.2)); #10413=CARTESIAN_POINT('',(39.25,-3.9,2.2)); #10414=CARTESIAN_POINT('',(39.25,-3.76,2.2)); #10415=CARTESIAN_POINT('',(39.25,-3.9,2.2)); #10416=CARTESIAN_POINT('',(39.25,-3.9,1.64)); #10417=CARTESIAN_POINT('',(39.25,-3.9,1.64)); #10418=CARTESIAN_POINT('',(39.25,-3.9,2.2)); #10419=CARTESIAN_POINT('',(39.25,-3.9,1.64)); #10420=CARTESIAN_POINT('',(39.25,-3.76,1.64)); #10421=CARTESIAN_POINT('',(39.25,-3.76,1.64)); #10422=CARTESIAN_POINT('',(39.25,-3.9,1.64)); #10423=CARTESIAN_POINT('',(39.25,-3.76,1.64)); #10424=CARTESIAN_POINT('',(39.25,-3.76,2.0282119205298)); #10425=CARTESIAN_POINT('',(39.25,-3.76,2.0282119205298)); #10426=CARTESIAN_POINT('',(39.25,-3.76,1.64)); #10427=CARTESIAN_POINT('',(39.25,-3.76,2.0282119205298)); #10428=CARTESIAN_POINT('',(39.25,-3.76,2.0282119205298)); #10429=CARTESIAN_POINT('',(39.25,-3.13,1.92)); #10430=CARTESIAN_POINT('',(1.4,3.,0.)); #10431=CARTESIAN_POINT('',(1.4,3.,0.)); #10432=CARTESIAN_POINT('',(1.4,3.,-1.4)); #10433=CARTESIAN_POINT('',(1.4,3.,0.)); #10434=CARTESIAN_POINT('',(1.4,-3.,0.)); #10435=CARTESIAN_POINT('',(1.4,-3.,0.)); #10436=CARTESIAN_POINT('',(1.4,-3.,-1.4)); #10437=CARTESIAN_POINT('',(1.4,-3.,0.)); #10438=CARTESIAN_POINT('',(1.4,0.,-2.975)); #10439=CARTESIAN_POINT('',(1.4,0.,-2.975)); #10440=CARTESIAN_POINT('',(1.4,0.,-4.775)); #10441=CARTESIAN_POINT('',(1.4,0.,-2.975)); #10442=CARTESIAN_POINT('',(1.4,0.,2.975)); #10443=CARTESIAN_POINT('',(1.4,0.,2.975)); #10444=CARTESIAN_POINT('',(1.4,0.,1.175)); #10445=CARTESIAN_POINT('',(1.4,0.,2.975)); #10446=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.41666667)); #10447=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.41666667)); #10448=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.41666667)); #10449=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.41666667)); #10450=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.)); #10451=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.)); #10452=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.)); #10453=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.)); #10454=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.18055555576923)); #10455=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.18055555576923)); #10456=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.18055555576923)); #10457=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.18055555576923)); #10458=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.31944444576923)); #10459=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.31944444576923)); #10460=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.31944444576923)); #10461=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.31944444576923)); #10462=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.68055555423077)); #10463=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.68055555423077)); #10464=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.68055555423077)); #10465=CARTESIAN_POINT('',(0.4,-2.71666666666668,-3.68055555423077)); #10466=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.81944444423077)); #10467=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.81944444423077)); #10468=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.81944444423077)); #10469=CARTESIAN_POINT('',(0.4,-3.04999999999999,-3.81944444423077)); #10470=CARTESIAN_POINT('',(0.4,-3.04999999999999,-4.)); #10471=CARTESIAN_POINT('',(0.4,-3.04999999999999,-4.)); #10472=CARTESIAN_POINT('',(0.4,-3.04999999999999,-4.)); #10473=CARTESIAN_POINT('',(0.4,-3.04999999999999,-4.)); #10474=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.58333333)); #10475=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.58333333)); #10476=CARTESIAN_POINT('',(0.4,-2.28333333997948,-3.5)); #10477=CARTESIAN_POINT('',(0.6,-2.28333333997948,-3.5)); #10478=CARTESIAN_POINT('',(0.6,-2.28333333997948,-3.5)); #10479=CARTESIAN_POINT('',(0.6,-2.54999999999999,-3.38888889076923)); #10480=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.38888889076923)); #10481=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.38888889076923)); #10482=CARTESIAN_POINT('',(0.4,-2.28333333997948,-3.5)); #10483=CARTESIAN_POINT('',(0.4,-2.28333333997948,-3.5)); #10484=CARTESIAN_POINT('',(0.4,-2.28333333997948,-3.5)); #10485=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.61111110923077)); #10486=CARTESIAN_POINT('',(0.6,-2.54999999999999,-3.61111110923077)); #10487=CARTESIAN_POINT('',(0.6,-2.54999999999999,-3.61111110923077)); #10488=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.61111110923077)); #10489=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.61111110923077)); #10490=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.61111110923077)); #10491=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.38888889076923)); #10492=CARTESIAN_POINT('',(0.6,-2.54999999999999,-3.38888889076923)); #10493=CARTESIAN_POINT('',(0.4,-2.54999999999999,-3.38888889076923)); #10494=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.58333333)); #10495=CARTESIAN_POINT('',(0.4,-2.04999999999999,-3.58333333)); #10496=CARTESIAN_POINT('',(0.4,0.,0.)); #10497=CARTESIAN_POINT('',(0.6,5.2,0.)); #10498=CARTESIAN_POINT('',(0.4,-2.07515332433378,3.76863853507986)); #10499=CARTESIAN_POINT('',(0.4,-1.800694912,3.75726426499993)); #10500=CARTESIAN_POINT('',(0.4,-1.800694912,3.75726426499993)); #10501=CARTESIAN_POINT('',(0.4,-2.07515332433378,3.76863853507986)); #10502=CARTESIAN_POINT('',(0.4,-2.300347456,3.92594205437846)); #10503=CARTESIAN_POINT('',(0.4,-2.300347456,3.92594205437846)); #10504=CARTESIAN_POINT('',(0.4,-2.52554158766622,3.76863853507986)); #10505=CARTESIAN_POINT('',(0.4,-2.52554158766622,3.76863853507986)); #10506=CARTESIAN_POINT('',(0.4,-2.8,3.75726426499993)); #10507=CARTESIAN_POINT('',(0.4,-2.8,3.75726426499993)); #10508=CARTESIAN_POINT('',(0.4,-2.8,3.75726426499993)); #10509=CARTESIAN_POINT('',(0.4,-2.8,3.75726426499993)); #10510=CARTESIAN_POINT('',(0.4,-2.8,3.493957705)); #10511=CARTESIAN_POINT('',(0.4,-2.8,3.493957705)); #10512=CARTESIAN_POINT('',(0.4,-2.8,3.493957705)); #10513=CARTESIAN_POINT('',(0.4,-2.8,3.493957705)); #10514=CARTESIAN_POINT('',(0.4,-1.800694912,3.493957705)); #10515=CARTESIAN_POINT('',(0.4,-1.800694912,3.493957705)); #10516=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.58172655833333)); #10517=CARTESIAN_POINT('',(0.6,-1.88846376533334,3.58172655833333)); #10518=CARTESIAN_POINT('',(0.6,-1.88846376533334,3.58172655833333)); #10519=CARTESIAN_POINT('',(0.6,-1.88846376533334,3.7572642649996)); #10520=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.7572642649996)); #10521=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.7572642649996)); #10522=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.58172655833333)); #10523=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.58172655833333)); #10524=CARTESIAN_POINT('',(0.4,-1.88846376533334,3.58172655833333)); #10525=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.58172655833333)); #10526=CARTESIAN_POINT('',(0.6,-2.25646302933334,3.58172655833333)); #10527=CARTESIAN_POINT('',(0.6,-2.25646302933334,3.58172655833333)); #10528=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.58172655833333)); #10529=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.58172655833333)); #10530=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.58172655833333)); #10531=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.75726426500038)); #10532=CARTESIAN_POINT('',(0.6,-2.25646302933334,3.75726426500038)); #10533=CARTESIAN_POINT('',(0.6,-2.25646302933334,3.75726426500038)); #10534=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.75726426500038)); #10535=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.75726426500038)); #10536=CARTESIAN_POINT('',(0.4,-2.25646302933334,3.75726426500038)); #10537=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.58172655833333)); #10538=CARTESIAN_POINT('',(0.6,-2.34423188266666,3.58172655833333)); #10539=CARTESIAN_POINT('',(0.6,-2.34423188266666,3.58172655833333)); #10540=CARTESIAN_POINT('',(0.6,-2.34423188266666,3.75726426500008)); #10541=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.75726426500008)); #10542=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.75726426500008)); #10543=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.58172655833333)); #10544=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.58172655833333)); #10545=CARTESIAN_POINT('',(0.4,-2.34423188266666,3.58172655833333)); #10546=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.58172655833333)); #10547=CARTESIAN_POINT('',(0.6,-2.71223114666666,3.58172655833333)); #10548=CARTESIAN_POINT('',(0.6,-2.71223114666666,3.58172655833333)); #10549=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.58172655833333)); #10550=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.58172655833333)); #10551=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.58172655833333)); #10552=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.7572642649999)); #10553=CARTESIAN_POINT('',(0.6,-2.71223114666666,3.7572642649999)); #10554=CARTESIAN_POINT('',(0.6,-2.71223114666666,3.7572642649999)); #10555=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.7572642649999)); #10556=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.7572642649999)); #10557=CARTESIAN_POINT('',(0.4,-2.71223114666666,3.7572642649999)); #10558=CARTESIAN_POINT('',(0.4,-2.07246339733332,3.76593014702647)); #10559=CARTESIAN_POINT('',(0.6,-2.07246339733332,3.76593014702647)); #10560=CARTESIAN_POINT('',(0.4,-2.07246339733332,3.76593014702647)); #10561=CARTESIAN_POINT('',(0.4,-2.52823151466667,3.76593014702647)); #10562=CARTESIAN_POINT('',(0.6,-2.52823151466667,3.76593014702647)); #10563=CARTESIAN_POINT('',(0.4,-2.52823151466667,3.76593014702647)); #10564=CARTESIAN_POINT('',(0.4,-1.800694912,3.493957705)); #10565=CARTESIAN_POINT('',(0.4,-1.800694912,3.493957705)); #10566=CARTESIAN_POINT('',(0.4,-2.07515332433378,3.76863853507986)); #10567=CARTESIAN_POINT('',(0.6,5.2,0.)); #10568=CARTESIAN_POINT('',(0.6,5.2,0.)); #10569=CARTESIAN_POINT('',(0.4,4.05,-1.775)); #10570=CARTESIAN_POINT('',(0.4,4.05,-1.775)); #10571=CARTESIAN_POINT('',(0.4,4.05,-1.775)); #10572=CARTESIAN_POINT('',(0.4,4.05,-1.775)); #10573=CARTESIAN_POINT('',(0.4,3.,-1.775)); #10574=CARTESIAN_POINT('',(0.4,3.,-1.775)); #10575=CARTESIAN_POINT('',(0.4,3.,-1.775)); #10576=CARTESIAN_POINT('',(0.4,3.,-1.775)); #10577=CARTESIAN_POINT('',(0.4,3.,-1.95)); #10578=CARTESIAN_POINT('',(0.4,3.,-1.95)); #10579=CARTESIAN_POINT('',(0.4,3.,-1.95)); #10580=CARTESIAN_POINT('',(0.4,3.,-1.95)); #10581=CARTESIAN_POINT('',(0.4,3.80251262658471,-1.95)); #10582=CARTESIAN_POINT('',(0.4,3.80251262658471,-1.95)); #10583=CARTESIAN_POINT('',(0.4,3.80251262658471,-1.95)); #10584=CARTESIAN_POINT('',(0.4,3.80251262658471,-1.95)); #10585=CARTESIAN_POINT('',(0.4,3.62751262658471,-2.125)); #10586=CARTESIAN_POINT('',(0.4,3.62751262658471,-2.125)); #10587=CARTESIAN_POINT('',(0.4,3.62751262658471,-2.125)); #10588=CARTESIAN_POINT('',(0.4,3.62751262658471,-2.125)); #10589=CARTESIAN_POINT('',(0.4,3.875,-2.125)); #10590=CARTESIAN_POINT('',(0.4,3.875,-2.125)); #10591=CARTESIAN_POINT('',(0.4,3.875,-2.125)); #10592=CARTESIAN_POINT('',(0.4,3.875,-2.125)); #10593=CARTESIAN_POINT('',(0.4,4.05,-1.95)); #10594=CARTESIAN_POINT('',(0.4,4.05,-1.95)); #10595=CARTESIAN_POINT('',(0.4,4.05,-1.95)); #10596=CARTESIAN_POINT('',(0.4,4.05,-1.95)); #10597=CARTESIAN_POINT('',(0.4,0.,0.)); #10598=CARTESIAN_POINT('',(0.4,-3.73,-1.86)); #10599=CARTESIAN_POINT('',(0.4,-3.73,-1.86)); #10600=CARTESIAN_POINT('',(0.4,-3.73,-1.86)); #10601=CARTESIAN_POINT('',(0.4,-3.73,-1.86)); #10602=CARTESIAN_POINT('',(0.4,-3.73,-2.)); #10603=CARTESIAN_POINT('',(0.4,-3.73,-2.)); #10604=CARTESIAN_POINT('',(0.4,-3.73,-1.72)); #10605=CARTESIAN_POINT('',(0.4,-3.73,-1.72)); #10606=CARTESIAN_POINT('',(0.4,-3.90985401459854,-1.50540145985401)); #10607=CARTESIAN_POINT('',(0.4,-3.90985401459854,-1.50540145985401)); #10608=CARTESIAN_POINT('',(0.4,-3.90985401459854,-1.50540145985401)); #10609=CARTESIAN_POINT('',(0.4,-3.90985401459854,-1.50540145985401)); #10610=CARTESIAN_POINT('',(0.4,-4.36,-1.8282119205298)); #10611=CARTESIAN_POINT('',(0.4,-4.36,-1.8282119205298)); #10612=CARTESIAN_POINT('',(0.4,-4.36,-1.8282119205298)); #10613=CARTESIAN_POINT('',(0.4,-4.36,-1.8282119205298)); #10614=CARTESIAN_POINT('',(0.4,-4.36,-1.44)); #10615=CARTESIAN_POINT('',(0.4,-4.36,-1.44)); #10616=CARTESIAN_POINT('',(0.4,-4.36,-1.44)); #10617=CARTESIAN_POINT('',(0.4,-4.36,-1.44)); #10618=CARTESIAN_POINT('',(0.4,-4.5,-1.44)); #10619=CARTESIAN_POINT('',(0.4,-4.5,-1.44)); #10620=CARTESIAN_POINT('',(0.4,-4.5,-1.44)); #10621=CARTESIAN_POINT('',(0.4,-4.5,-1.44)); #10622=CARTESIAN_POINT('',(0.4,-4.5,-2.)); #10623=CARTESIAN_POINT('',(0.4,-4.5,-2.)); #10624=CARTESIAN_POINT('',(0.4,-4.5,-2.)); #10625=CARTESIAN_POINT('',(0.4,-4.5,-2.)); #10626=CARTESIAN_POINT('',(0.4,-4.36,-2.)); #10627=CARTESIAN_POINT('',(0.4,-4.36,-2.)); #10628=CARTESIAN_POINT('',(0.4,-4.36,-2.)); #10629=CARTESIAN_POINT('',(0.4,-4.36,-2.)); #10630=CARTESIAN_POINT('',(0.4,-3.81992700729927,-1.61270072992701)); #10631=CARTESIAN_POINT('',(0.4,-3.81992700729927,-1.61270072992701)); #10632=CARTESIAN_POINT('',(0.4,-3.73,-1.72)); #10633=CARTESIAN_POINT('',(0.4,-3.73,-1.72)); #10634=CARTESIAN_POINT('',(0.4,-3.73,-1.72)); #10635=CARTESIAN_POINT('',(36.8,0.,-2.4)); #10636=CARTESIAN_POINT('',(36.8,0.,-2.4)); #10637=CARTESIAN_POINT('',(36.8,0.,-3.05)); #10638=CARTESIAN_POINT('',(39.05,0.,-2.4)); #10639=CARTESIAN_POINT('',(37.1,0.,-2.4)); #10640=CARTESIAN_POINT('',(37.1,0.,-3.05)); #10641=CARTESIAN_POINT('',(37.1,0.,-3.05)); #10642=CARTESIAN_POINT('',(37.1,0.,-2.4)); #10643=CARTESIAN_POINT('',(37.1,0.,-3.35)); #10644=CARTESIAN_POINT('',(39.05,0.,-2.4)); #10645=CARTESIAN_POINT('',(37.45,0.,-2.4)); #10646=CARTESIAN_POINT('',(37.45,0.,-3.35)); #10647=CARTESIAN_POINT('',(37.45,0.,-2.4)); #10648=CARTESIAN_POINT('',(37.7,0.,-2.4)); #10649=CARTESIAN_POINT('',(37.7,0.,-3.6)); #10650=CARTESIAN_POINT('',(37.7,0.,-3.6)); #10651=CARTESIAN_POINT('',(37.7,0.,-2.4)); #10652=CARTESIAN_POINT('',(37.7,0.,-3.8)); #10653=CARTESIAN_POINT('',(38.1,0.,-2.4)); #10654=CARTESIAN_POINT('',(38.1,0.,-2.4)); #10655=CARTESIAN_POINT('',(38.1,0.,-4.2)); #10656=CARTESIAN_POINT('',(39.05,0.,-2.4)); #10657=CARTESIAN_POINT('',(36.8,0.,2.4)); #10658=CARTESIAN_POINT('',(38.1,0.,2.4)); #10659=CARTESIAN_POINT('',(38.1,0.,4.2)); #10660=CARTESIAN_POINT('',(38.1,0.,2.4)); #10661=CARTESIAN_POINT('',(37.7,0.,2.4)); #10662=CARTESIAN_POINT('',(37.7,0.,3.8)); #10663=CARTESIAN_POINT('',(37.7,0.,3.8)); #10664=CARTESIAN_POINT('',(37.7,0.,2.4)); #10665=CARTESIAN_POINT('',(37.7,0.,3.6)); #10666=CARTESIAN_POINT('',(37.45,0.,2.4)); #10667=CARTESIAN_POINT('',(37.45,0.,2.4)); #10668=CARTESIAN_POINT('',(37.45,0.,3.35)); #10669=CARTESIAN_POINT('',(36.8,0.,2.4)); #10670=CARTESIAN_POINT('',(37.1,0.,2.4)); #10671=CARTESIAN_POINT('',(37.1,0.,3.35)); #10672=CARTESIAN_POINT('',(37.1,0.,3.35)); #10673=CARTESIAN_POINT('',(37.1,0.,2.4)); #10674=CARTESIAN_POINT('',(37.1,0.,3.05)); #10675=CARTESIAN_POINT('',(36.8,0.,2.4)); #10676=CARTESIAN_POINT('',(36.8,0.,2.4)); #10677=CARTESIAN_POINT('',(36.8,0.,3.05)); #10678=CARTESIAN_POINT('',(36.8,0.,3.05)); #10679=CARTESIAN_POINT('',(39.05,4.55,0.)); #10680=CARTESIAN_POINT('',(39.05,3.,0.)); #10681=CARTESIAN_POINT('',(36.8,3.,0.)); #10682=CARTESIAN_POINT('',(36.8,3.,0.)); #10683=CARTESIAN_POINT('',(36.8,3.,-0.4)); #10684=CARTESIAN_POINT('',(39.05,3.,0.)); #10685=CARTESIAN_POINT('',(37.1,3.,0.)); #10686=CARTESIAN_POINT('',(37.1,3.,-0.4)); #10687=CARTESIAN_POINT('',(37.1,3.4,0.)); #10688=CARTESIAN_POINT('',(37.1,3.,0.)); #10689=CARTESIAN_POINT('',(37.1,3.,-0.8)); #10690=CARTESIAN_POINT('',(39.05,3.,0.)); #10691=CARTESIAN_POINT('',(37.45,3.,0.)); #10692=CARTESIAN_POINT('',(37.45,3.,-0.8)); #10693=CARTESIAN_POINT('',(37.45,3.,0.)); #10694=CARTESIAN_POINT('',(37.7,3.,0.)); #10695=CARTESIAN_POINT('',(37.7,3.,-1.05)); #10696=CARTESIAN_POINT('',(37.7,4.05,0.)); #10697=CARTESIAN_POINT('',(37.7,3.,0.)); #10698=CARTESIAN_POINT('',(37.7,3.,-1.15)); #10699=CARTESIAN_POINT('',(38.1,3.,0.)); #10700=CARTESIAN_POINT('',(38.1,3.,0.)); #10701=CARTESIAN_POINT('',(38.1,3.,-1.55)); #10702=CARTESIAN_POINT('',(39.05,3.,0.)); #10703=CARTESIAN_POINT('',(39.05,-3.,0.)); #10704=CARTESIAN_POINT('',(39.05,-3.,0.)); #10705=CARTESIAN_POINT('',(36.8,-3.,0.)); #10706=CARTESIAN_POINT('',(38.1,-3.,0.)); #10707=CARTESIAN_POINT('',(38.1,-3.,1.55)); #10708=CARTESIAN_POINT('',(38.1,-3.,0.)); #10709=CARTESIAN_POINT('',(37.7,-3.,0.)); #10710=CARTESIAN_POINT('',(37.7,-3.,1.15)); #10711=CARTESIAN_POINT('',(37.7,-4.15,0.)); #10712=CARTESIAN_POINT('',(37.7,-3.,0.)); #10713=CARTESIAN_POINT('',(37.7,-3.,1.05)); #10714=CARTESIAN_POINT('',(37.45,-3.,0.)); #10715=CARTESIAN_POINT('',(37.45,-3.,0.)); #10716=CARTESIAN_POINT('',(37.45,-3.,0.800000000000002)); #10717=CARTESIAN_POINT('',(36.8,-3.,0.)); #10718=CARTESIAN_POINT('',(37.1,-3.,0.)); #10719=CARTESIAN_POINT('',(37.1,-3.,0.8)); #10720=CARTESIAN_POINT('',(37.1,-3.8,0.)); #10721=CARTESIAN_POINT('',(37.1,-3.,0.)); #10722=CARTESIAN_POINT('',(37.1,-3.,0.4)); #10723=CARTESIAN_POINT('',(36.8,-3.,0.)); #10724=CARTESIAN_POINT('',(36.8,-3.,0.)); #10725=CARTESIAN_POINT('',(36.8,-3.,0.4)); #10726=CARTESIAN_POINT('',(36.8,-3.4,0.)); #10727=CARTESIAN_POINT('',(39.25,-0.305,0.245)); #10728=CARTESIAN_POINT('',(39.25,-0.305,0.35)); #10729=CARTESIAN_POINT('',(39.25,-0.305,0.35)); #10730=CARTESIAN_POINT('',(39.25,-0.305,0.245)); #10731=CARTESIAN_POINT('',(39.25,-0.305,0.245)); #10732=CARTESIAN_POINT('',(39.25,-0.305,0.245)); #10733=CARTESIAN_POINT('',(39.25,-0.305,0.105)); #10734=CARTESIAN_POINT('',(39.25,-0.55,0.105)); #10735=CARTESIAN_POINT('',(39.25,-0.55,0.105)); #10736=CARTESIAN_POINT('',(39.25,-0.305,0.105)); #10737=CARTESIAN_POINT('',(39.25,-0.55,0.105)); #10738=CARTESIAN_POINT('',(39.25,-0.55,-0.105)); #10739=CARTESIAN_POINT('',(39.25,-0.55,-0.105)); #10740=CARTESIAN_POINT('',(39.25,-0.55,0.105)); #10741=CARTESIAN_POINT('',(39.25,-0.305,-0.105)); #10742=CARTESIAN_POINT('',(39.25,-0.091497450886937,-0.225173464301501)); #10743=CARTESIAN_POINT('',(39.25,-0.091497450886937,-0.225173464301501)); #10744=CARTESIAN_POINT('',(39.25,-0.305,-0.105)); #10745=CARTESIAN_POINT('',(39.25,-0.0914974508869371,-0.225173464301501)); #10746=CARTESIAN_POINT('',(39.25,0.132998543363964,0.173670551029429)); #10747=CARTESIAN_POINT('',(39.25,0.132998543363964,0.173670551029429)); #10748=CARTESIAN_POINT('',(39.25,-0.0914974508869371,-0.225173464301501)); #10749=CARTESIAN_POINT('',(39.25,0.255,0.105)); #10750=CARTESIAN_POINT('',(39.25,0.395,0.105)); #10751=CARTESIAN_POINT('',(39.25,0.395,0.105)); #10752=CARTESIAN_POINT('',(39.25,0.255,0.105)); #10753=CARTESIAN_POINT('',(39.25,0.395,0.105)); #10754=CARTESIAN_POINT('',(39.25,0.395,-0.105)); #10755=CARTESIAN_POINT('',(39.25,0.395,-0.105)); #10756=CARTESIAN_POINT('',(39.25,0.395,0.105)); #10757=CARTESIAN_POINT('',(39.25,0.255,-0.105)); #10758=CARTESIAN_POINT('',(39.25,0.255,-0.245)); #10759=CARTESIAN_POINT('',(39.25,0.255,-0.245)); #10760=CARTESIAN_POINT('',(39.25,0.255,-0.105)); #10761=CARTESIAN_POINT('',(39.25,0.255,-0.245)); #10762=CARTESIAN_POINT('',(39.25,0.255,-0.35)); #10763=CARTESIAN_POINT('',(39.25,0.255,-0.35)); #10764=CARTESIAN_POINT('',(39.25,0.255,-0.245)); #10765=CARTESIAN_POINT('',(39.25,0.255,-0.105)); #10766=CARTESIAN_POINT('',(39.25,0.5,-0.105)); #10767=CARTESIAN_POINT('',(39.25,0.5,-0.105)); #10768=CARTESIAN_POINT('',(39.25,0.255,-0.105)); #10769=CARTESIAN_POINT('',(39.25,0.5,-0.105)); #10770=CARTESIAN_POINT('',(39.25,0.5,0.105)); #10771=CARTESIAN_POINT('',(39.25,0.5,0.105)); #10772=CARTESIAN_POINT('',(39.25,0.5,-0.105)); #10773=CARTESIAN_POINT('',(39.25,0.255,0.105)); #10774=CARTESIAN_POINT('',(39.25,0.0414974508869371,0.225173464301501)); #10775=CARTESIAN_POINT('',(39.25,0.0414974508869371,0.225173464301501)); #10776=CARTESIAN_POINT('',(39.25,0.255,0.105)); #10777=CARTESIAN_POINT('',(39.25,0.0414974508869371,0.225173464301501)); #10778=CARTESIAN_POINT('',(39.25,-0.182998543363964,-0.173670551029429)); #10779=CARTESIAN_POINT('',(39.25,-0.182998543363964,-0.173670551029429)); #10780=CARTESIAN_POINT('',(39.25,0.0414974508869371,0.225173464301501)); #10781=CARTESIAN_POINT('',(39.25,-0.305,-0.105)); #10782=CARTESIAN_POINT('',(39.25,-0.445,-0.105)); #10783=CARTESIAN_POINT('',(39.25,-0.445,-0.105)); #10784=CARTESIAN_POINT('',(39.25,-0.305,-0.105)); #10785=CARTESIAN_POINT('',(39.25,-0.445,-0.105)); #10786=CARTESIAN_POINT('',(39.25,-0.445,0.105)); #10787=CARTESIAN_POINT('',(39.25,-0.445,0.105)); #10788=CARTESIAN_POINT('',(39.25,-0.445,-0.105)); #10789=CARTESIAN_POINT('',(39.25,-0.305,0.105)); #10790=CARTESIAN_POINT('',(39.25,-0.305,0.105)); #10791=CARTESIAN_POINT('',(39.25,-0.305,0.105)); #10792=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#6166), #10793); #10793=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#10794)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#10797,#10796,#10795)) REPRESENTATION_CONTEXT('RTS6BS10N2SHEC03','TOP_LEVEL_ASSEMBLY_PART') ); #10794=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#10797, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #10795=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #10796=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #10797=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #10798=PRODUCT_DEFINITION_SHAPE('','',#10799); #10799=PRODUCT_DEFINITION('','',#10801,#10800); #10800=PRODUCT_DEFINITION_CONTEXT('',#10807,'design'); #10801=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#10803, .NOT_KNOWN.); #10802=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#10803)); #10803=PRODUCT('RTS6BS10N2SHEC03','RTS6BS10N2SHEC03','RTS6BS10N2SHEC03', (#10805)); #10804=PRODUCT_CATEGORY('',''); #10805=PRODUCT_CONTEXT('',#10807,'mechanical'); #10806=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2010,#10807); #10807=APPLICATION_CONTEXT( 'core data for automotive mechanical design processes'); ENDSEC; END-ISO-10303-21;